Lithography below 100 nm

Author(s):  
L. D. Jackel

Most production electron beam lithography systems can pattern minimum features a few tenths of a micron across. Linewidth in these systems is usually limited by the quality of the exposing beam and by electron scattering in the resist and substrate. By using a smaller spot along with exposure techniques that minimize scattering and its effects, laboratory e-beam lithography systems can now make features hundredths of a micron wide on standard substrate material. This talk will outline sane of these high- resolution e-beam lithography techniques.We first consider parameters of the exposure process that limit resolution in organic resists. For concreteness suppose that we have a “positive” resist in which exposing electrons break bonds in the resist molecules thus increasing the exposed resist's solubility in a developer. Ihe attainable resolution is obviously limited by the overall width of the exposing beam, but the spatial distribution of the beam intensity, the beam “profile” , also contributes to the resolution. Depending on the local electron dose, more or less resist bonds are broken resulting in slower or faster dissolution in the developer.

2011 ◽  
Vol 497 ◽  
pp. 127-132 ◽  
Author(s):  
Hui Zhang ◽  
Takuro Tamura ◽  
You Yin ◽  
Sumio Hosaka

We have studied on theoretical electron energy deposition in thin resist layer on Si substrate for electron beam lithography. We made Monte Carlo simulation to calculate the energy distribution and to consider formation of nanometer sized pattern regarding electron energy, resist thickness and resist type. The energy distribution in 100 nm-thick resist on Si substrate were calculated for small pattern. The calculations show that 4 nm-wide pattern will be formed when resist thickness is less than 30 nm. Furthermore, a negative resist is more suitable than positive resist by the estimation of a shape of the energy distribution.


1994 ◽  
Vol 12 (1) ◽  
pp. 17-21 ◽  
Author(s):  
C.B. McKee ◽  
John M.J. Madey

Free electron lasers (FELs) place very stringent requirements on the quality of electron beams. Present techniques for commissioning and operating electron accelerators may not be optimized to produce the high brightness beams needed. Therefore, it is proposed to minimize the beamline errors in electron accelerator transport systems by minimizing the deviations between the experimentally measured and design transport matrices of each beamline section. The transport matrix for each section is measured using evoked responses. In addition, the transverse phase space of the beam is reconstructed by measuring the spatial distribution of the electrons at a number of different betatron phases and applying tomographic techniques developed for medical imaging.


2002 ◽  
Author(s):  
Andrew R. Eckert ◽  
Richard J. Bojko ◽  
Harold Gentile ◽  
Robert Harris ◽  
Jay Jayashankar ◽  
...  

Author(s):  
T. H. Newman ◽  
R. F. W. Pease ◽  
K. J. Polasko ◽  
Y. W. Yau

Two prominent problems of electron beam lithography are slow throughput and proximity effects. The former arises from the serial nature of the exposure process; the current available in a beam of given resolution is limited by electron optical considerations and the resist sensitivity is limited by material considerations such that a dose of 1 μC/cm2 at 20 kV is required for the most sensitive resist and ten times that dose if high resolution is required.Proximity effects are caused by electrons scattered through lateral distances greater than the resolution of the pattern; a 20 keV electron in silicon has a range of about 3 μm whereas feature sizes are often less than 1 μm. Lowering the energy of the exposing electrons to, say, 2 keV would lower the electron range to less than 0.1 μm in silicon and thus effectively eliminate proximity effects as far as semiconductor circuit fabrication is concerned.


2002 ◽  
Vol 61-62 ◽  
pp. 363-369 ◽  
Author(s):  
Feng Gao ◽  
Jianhua Zhu ◽  
Qizhong Huang ◽  
Yixiao Zhang ◽  
Yangsu Zeng ◽  
...  

1988 ◽  
Vol 27 (Part 2, No. 11) ◽  
pp. L2137-L2138 ◽  
Author(s):  
Hiroshi Ban ◽  
Akinobu Tanaka ◽  
Saburo Imamura

1996 ◽  
Vol 35 (Part 1, No. 12B) ◽  
pp. 6506-6510 ◽  
Author(s):  
Tetsuro Nakasugi ◽  
Hitoshi Tamura ◽  
Hiromi Niiyama ◽  
Satoshi Saito ◽  
Naoko Kihara ◽  
...  

2013 ◽  
Vol 534 ◽  
pp. 107-112
Author(s):  
Hui Zhang ◽  
Takuya Komori ◽  
Zulfakri bin Mohamad ◽  
You Yin ◽  
Sumio Hosaka

We numerically modeled the process of exposure and development of the calixarene negative resist and ZEP520 positive resist in electron beam lithography (EBL) in order to understand the limitation of nanopatterning of these two resists and to improve the resolution of the patterning. From the calculation of energy deposition distribution (EDD) in resist at various beam diameters, it is obvious that the fine probe beam with a diameter of 2 nm and thin resist should be adopted for formation of very fine dots. The simulation of resist development profile indicates that a dot size of 2 nm with a pitch of 20 nm can even be obtained at a higher critical energy density by using calixarene resist, while it cannot form the small pattern by using the ZEP520 resist because of the capillary force.


Sign in / Sign up

Export Citation Format

Share Document