dry etch
Recently Published Documents


TOTAL DOCUMENTS

423
(FIVE YEARS 37)

H-INDEX

22
(FIVE YEARS 4)

Author(s):  
Hsien-Chih Huang ◽  
Zhongjie Ren ◽  
Clarence Chan ◽  
Xiuling Li
Keyword(s):  
Wet Etch ◽  

2021 ◽  
Author(s):  
Mary Edmonds ◽  
Thaddeus Cox ◽  
John Markulin ◽  
Martin von Haartman

Abstract This paper presents a global die level sample preparation technique utilizing selective etch chemistry and laser interferometry to expose the entire die top-most metal layer surface for Ebeam electrical FI. A novel Ebeam based probing technique referred to as StaMPS is introduced alongside this prep technique to isolate logic structure failures observed through SEM image contrasts at different logic states. By landing SEM probe tips on exposed metal pads and controlling logic states via an applied bias, the varying states produce different contrast within SEM imaging highlighting structural failure locations. This global prep technique in combination with StaMPS Ebeam FI creates faster FI/FA turn-around time by delivering a globally delayered full die in under an hour and creating opportunity to locate several defect types within a single sample.


2021 ◽  
Vol MA2021-02 (30) ◽  
pp. 943-943
Author(s):  
Curtis Durfee ◽  
Subhadeep Kal ◽  
Shanti Pancharatnam ◽  
Maruf Bhuiyan ◽  
Ivo Otto IV ◽  
...  
Keyword(s):  

2021 ◽  
Vol 104 (4) ◽  
pp. 217-227
Author(s):  
Curtis Durfee ◽  
Subhadeep Kal ◽  
Shanti Pancharatnam ◽  
Maruf Bhuiyan ◽  
Ivo Otto IV ◽  
...  
Keyword(s):  

2021 ◽  
Author(s):  
Richard G. Jones ◽  
Christopher K. Ober ◽  
Teruaki Hayakawa ◽  
Christine K. Luscombe ◽  
Natalie Stingelin
Keyword(s):  

Electronics ◽  
2021 ◽  
Vol 10 (12) ◽  
pp. 1374
Author(s):  
Zheng Jiang ◽  
Hao Zhu ◽  
Qingqing Sun

Amorphous carbon hard mask (ACHM) films are widely used as etching hard masks in 3D-NAND flash memory, which has put forward higher requirements in the film deposition rate, film transparency, uniformity, and selective etching. In this work, the ACHM film processing is engineered and optimized by comparatively studying acetylene (C2H2) and propylene (C3H6) as carbon sources at the different temperatures of 300 °C, 350 °C and 400 °C. By increasing the deposition temperature, the deposition rate, non-uniformity, and dry etch rate of ACHM are improved at the penalty of a slightly increased extinction coefficient of the film, due to lower incorporation of hydrocarbon reactants absorbed into film at higher temperatures. However, the Fourier transformation infrared (FTIR) spectrum intensity is decreased with the increase of the deposition temperature. The lower dry etch rate of ACHM is achieved by using C3H6 as a carbon source deposited at 400 °C. The best dry etch selective ratio values are also achieved with 10.9 and 9.5 for SiO2 and SiN, respectively. These experimental results can be very promising in the advancement of etching process in 3D-NAND applications.


Author(s):  
Friedrich-Leonhard Schein ◽  
Mohammed Elghazzali ◽  
Christian Voigt ◽  
Ioannis Tsigaras ◽  
Hirofumi Sawamoto ◽  
...  

Author(s):  
Pham Thi Hong ◽  
Dang Huu Tung ◽  
Nguyen Hai Anh ◽  
Dang Tuan Linh ◽  
Nguyen Thi Thu Thao ◽  
...  

Ultrathin silicon nitride SiNx membrane suspended on a silicon wafer is a popular two-dimensional platform in MEMS applications. The unsupported membrane has a low thermal conductivity, is electrically insulated, and very robust against mechanical impact. Remarkably thin, it is difficult to fabricate and manipulate. Recently equipped with a dual chamber system for plasma enhanced chemical vapor deposition (PECVD) and reactive ion etching, we calibrate it to deposit silicon nitride Si3N4, silicon dioxide SiO2, and to dry etch these materials. Based on the superb quality of Si3N4, we perform a through-wafer etch that creates suspended Si3N4 membranes. The recipe is reliable and reproducible. We analyze the membrane’s chemical composition and optical properties. Although created by PECVD, the membrane is so robust that it survives multiple lithography steps. It extends our capability to study thermal transport at the submicron scale as well as to fabricate micron size devices for MEMS applicati


Author(s):  
Tsu-Wen Huang ◽  
Ying-Cheng Chuang ◽  
Hsuan-Jui Huang ◽  
Chung-Chang Liu ◽  
Hsiao-Lun Chu ◽  
...  
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document