negative differential resistance
Recently Published Documents


TOTAL DOCUMENTS

1337
(FIVE YEARS 206)

H-INDEX

57
(FIVE YEARS 7)

Author(s):  
Ziqiang Xie ◽  
Weifeng Lyu ◽  
Mengxue Guo ◽  
Mengjie Zhao

Abstract A negative capacitance transistor (NCFET) with fully depleted silicon-on-insulator (FDSOI) technology (NC-FDSOI) is one of the promising candidates for next-generation low-power devices. However, it suffers from the inherent negative differential resistance (NDR) effect, which is very detrimental to device and circuit designs. Aiming at overcoming this shortcoming, this paper proposes for the first time to use local Gaussian heavy doping technology (LoGHeD) in the channel near the drain side to suppress the NDR effect in the NC-FDSOI. The technical computer-aided design (TCAD) simulation results have validated that the output conductance (GDS) with LoGHeD, which is used to measure the NDR effect, increases compared to the conventional NC-FDSOI counterpart and approaches zero. With the increase in doping concentration, the inhibitory capability of the NDR effect shows a monotonously increasing trend. In addition, the proposed approach maintains and even enhances performances of the NC-FDSOI transistor regarding the electrical parameters, such as threshold voltage (VTH), sub-threshold swing (SS), switching current ratio (ION/IOFF), and drain-induced barrier lowering (DIBL).


RSC Advances ◽  
2022 ◽  
Vol 12 (3) ◽  
pp. 1758-1768
Author(s):  
Najmeh Janatipour ◽  
Zabiollah Mahdavifar ◽  
Siamak Noorizadeh ◽  
Georg Schreckenbach

Multi negative differential resistance (NDR) with large peak to valley ratio (PVR) and rectifying actions were observed for a CNT|C–(B–C)2–C|CNT molecular device.


RSC Advances ◽  
2022 ◽  
Vol 12 (3) ◽  
pp. 1278-1286
Author(s):  
Amir Muhammad Afzal ◽  
Muhammad Zahir Iqbal ◽  
Muhammad Waqas Iqbal ◽  
Thamer Alomayri ◽  
Ghulam Dastgeer ◽  
...  

A novel and astonishing p-GeSe/n-HfS2 NDR device shows a high value for the peak-to-valley current ratio in the range of 5.8.


2021 ◽  
Vol 2140 (1) ◽  
pp. 012025
Author(s):  
D Sergeyev ◽  
A Duisenova ◽  
Zh Embergenov

Abstract In this work, within the framework of density functional theory combined with the method of nonequilibrium Green’s functions the density of states, transmission spectrum, current-voltage characteristics, and differential conductivity of Li-intercalated graphene (LiC6) have been determined. It is shown that in the energy range of -1.3÷-1.05 eV the quasiparticle transport through the nanostructure is disable. The features of IV- and dI/dV-characteristics of LiC6 in the form of decreasing of resistance in the range of -0.4÷0.4 V were revealed, and in the interval of 0.4÷1.4 V formation of negative differential resistance area, related to scattering of quasiparticles. It is established, that LiC6 nanodevice has 12÷13 ballistic channels and has the maximum amount of conductance 12÷13G0 , where Go is the conductance quantum.


Author(s):  
Xinjun Liu ◽  
Peng Zhang ◽  
Shimul Kanti Nath ◽  
Shuai Li ◽  
Sanjoy Kumar Nandi ◽  
...  

Abstract Volatile memristors, or threshold switching devices, exhibit a diverse range of negative differential resistance (NDR) characteristics under current-controlled operation and understanding the origin of these responses is of great importance for exploring their potential as nano-scale oscillators for neuromorphic computing. Here we use a developed two-zone, parallel memristor model of NDR to undertake a systematic analysis of NDR modes in two-terminal metal-oxide-metal devices. The model assumes that the non-uniform current distribution associated with filamentary conduction can be represented by a high current density core and a lower current-density shell where the core is assumed to have a memristive response due to Poole-Frenkel conduction and the shell is represented by either a fixed resistor or a second memristive region. The detail analysis of the electrical circuits is undertaken using a lumped-element thermal model of the core-shell structure, and is shown to reproduce continuous and discontinuous NDR responses, as well as more complex compound behaviour. Finally, an interesting double-window oscillation behaviour is predicted and experimentally verified for a device with compound NDR behaviour. These results clearly identify the origin of different NDR responses and provide a strong basis for designing devices with complex NDR characteristics.


2021 ◽  
Vol 104 (18) ◽  
Author(s):  
Biplab Bag ◽  
Sourav M. Karan ◽  
Gorky Shaw ◽  
A. K. Sood ◽  
A. K. Grover ◽  
...  

2021 ◽  
Author(s):  
Denice Feria ◽  
Sonia Sharma ◽  
Yu-Ting Chen ◽  
Zhi-Ying Weng ◽  
Kuo-Pin Chiu ◽  
...  

Abstract Understanding the mechanism of the negative differential resistance (NDR) in transition metal dichalcogenides is essential for fundamental science and the development of electronic devices. Here, the NDR of the current-voltage characteristics was observed based on the glutamine-functionalized WS2 quantum dots (QDs). The NDR effect can be adjusted by varying the applied voltage range, air pressure, surrounding gases, and relative humidity. A peak-to-valley current ratio as high as 6.3 has been achieved at room temperature. Carrier trapping induced by water molecules was suggested to be responsible for the mechanism of the NDR in the glutamine-functionalized WS2 QDs. Investigating the NDR of WS2 QDs may promote the development of memory applications and emerging devices.


Sign in / Sign up

Export Citation Format

Share Document