Peak Power Minimization for Commercial Thermostatically Controlled Loads in Multi-Unit Grid-Interactive Efficient Buildings

Author(s):  
Syed Ahsan Raza Naqvi ◽  
Koushik Kar ◽  
Saptarshi Bhattacharya ◽  
Vikas Chandan
2018 ◽  
Vol 81 ◽  
pp. 12-21 ◽  
Author(s):  
J.R. Usherwood ◽  
T.Y. Hubel ◽  
B.J.H. Smith ◽  
Z.T. Self Davies ◽  
G. Sobota

2020 ◽  
Vol 10 (4) ◽  
pp. 31
Author(s):  
Arka Maity ◽  
Anuj Pathania ◽  
Tulika Mitra

Multiple multi-threaded tasks constitute a modern many-core application. An accompanying generic Directed Acyclic Graph (DAG) represents the execution precedence relationship between the tasks. The application comes with a hard deadline and high peak power consumption. Parallel execution of multiple tasks on multiple cores results in a quicker execution, but higher peak power. Peak power single-handedly determines the involved cooling costs in many-cores, while its violations could induce performance-crippling execution uncertainties. Less task parallelization, on the other hand, results in lower peak power, but a more prolonged deadline violating execution. The problem of peak power minimization in many-cores is to determine task-to-core mapping configuration in the spatio-temporal domain that minimizes the peak power consumption of an application, but ensures application still meets the deadline. All previous works on peak power minimization for many-core applications (with or without DAG) assume only single-threaded tasks. We are the first to propose a framework, called PkMin, which minimizes the peak power of many-core applications with DAG that have multi-threaded tasks. PkMin leverages the inherent convexity in the execution characteristics of multi-threaded tasks to find a configuration that satisfies the deadline, as well as minimizes peak power. Evaluation on hundreds of applications shows PkMin on average results in 49.2% lower peak power than a similar state-of-the-art framework.


Author(s):  
Ashkan Kalantari ◽  
Christos Tsinos ◽  
Mojtaba Soltanalian ◽  
Symeon Chatzinotas ◽  
Wing-Kin Ma ◽  
...  

2020 ◽  
Vol 53 (2) ◽  
pp. 10411-10416
Author(s):  
Damien Lamy ◽  
Xavier Delorme ◽  
Paolo Gianessi

Sign in / Sign up

Export Citation Format

Share Document