Ray Tracing Channel Modeling for Optical Wireless Networks On-Chip

Author(s):  
Franco Fuschini ◽  
Marina Barbiroli ◽  
Jacopo Nanni ◽  
Gaetano Bellanca ◽  
Velio Tralli ◽  
...  
2018 ◽  
Vol 8 (4) ◽  
pp. 39 ◽  
Author(s):  
Franco Fuschini ◽  
Marina Barbiroli ◽  
Marco Zoli ◽  
Gaetano Bellanca ◽  
Giovanna Calò ◽  
...  

Multi-core processors are likely to be a point of no return to meet the unending demand for increasing computational power. Nevertheless, the physical interconnection of many cores might currently represent the bottleneck toward kilo-core architectures. Optical wireless networks on-chip are therefore being considered as promising solutions to overcome the technological limits of wired interconnects. In this work, the spatial properties of the on-chip wireless channel are investigated through a ray tracing approach applied to a layered representation of the chip structure, highlighting the relationship between path loss, antenna positions and radiation properties.


2019 ◽  
Vol 10 (1) ◽  
pp. 196 ◽  
Author(s):  
Franco Fuschini ◽  
Marina Barbiroli ◽  
Giovanna Calò ◽  
Velio Tralli ◽  
Gaetano Bellanca ◽  
...  

Networks-on-chip are being regarded as a promising solution to meet the on-going requirement for higher and higher computation capacity. In view of future kilo-cores architectures, electrical wired connections are likely to become inefficient and alternative technologies are being widely investigated. Wireless communications on chip may be therefore leveraged to overcome the bottleneck of physical interconnections. This work deals with wireless networks-on-chip at optical frequencies, which can simplify the network layout and reduce the communication latency, easing the antenna on-chip integration process at the same time. On the other end, optical wireless communication on-chip can be limited by the heavy propagation losses and the possible cross-link interference. Assessment of the optical wireless network in terms of bit error probability and maximum communication range is here investigated through a multi-level approach. Manifold aspects, concurring to the final system performance, are simultaneously taken into account, like the antenna radiation properties, the data-rate of the core-to core communication, the geometrical and electromagnetic layout of the chip and the noise and interference level. Simulations results suggest that communication up to some hundreds of μm can be pursued provided that the antenna design and/or the target data-rate are carefully tailored to the actual layout of the chip.


IEEE Access ◽  
2020 ◽  
Vol 8 ◽  
pp. 24098-24107
Author(s):  
Dedong Zhao ◽  
Yiming Ouyang ◽  
Qi Wang ◽  
Huaguo Liang

Author(s):  
Sergi Abadal ◽  
Albert Mestres ◽  
Mario Iannazzo ◽  
Josep Solé-Pareta ◽  
Eduard Alarcón ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document