An energy efficient wireless Network-on-Chip using power-gated transceivers

Author(s):  
Hemanta Kumar Mondal ◽  
Sujay Deb
Author(s):  
Dominic DiTomaso ◽  
Soumyasanta Laha ◽  
Savas Kaya ◽  
David Matolak ◽  
Avinash Kodi

2018 ◽  
Vol 75 (2) ◽  
pp. 837-861 ◽  
Author(s):  
Fahimeh Yazdanpanah ◽  
Raheel AfsharMazayejani ◽  
Mohammad Alaei ◽  
Amin Rezaei ◽  
Masoud Daneshtalab

Author(s):  
Saliha Lakhdari ◽  
Fateh Boutekkouk

Designing sustainable and high-performance wireless multi-core chips requires a matchless tradeoff between many aspects including scalable and reliable architectures implementation which in its turn implies aware-wideband energy-efficient wireless interfaces and adopting innovative straightforward optimization approaches to achieve the optimal configuration with a minimal cost. This paper focuses on investigating various existing designs and methodologies for wireless network on chip (WiNoC) architectures, as well as the different emerging technologies and optimization tools for the design of a robust and reliable WiNoC infrastructure with a special focus on combinatorial optimization meta-heuristics.


IEEE Access ◽  
2021 ◽  
pp. 1-1
Author(s):  
Quoc-Tuan Vien ◽  
Michael Opoku Agyeman ◽  
Mallik Tatipamula ◽  
Huan X. Nguyen

Sign in / Sign up

Export Citation Format

Share Document