data cache
Recently Published Documents


TOTAL DOCUMENTS

333
(FIVE YEARS 32)

H-INDEX

26
(FIVE YEARS 2)

2021 ◽  
Author(s):  
Francisco Carlos Silva ◽  
Ivan Saraiva Silva
Keyword(s):  

2021 ◽  
Author(s):  
Arun S. Nair ◽  
Aboli Vijayan Pai ◽  
Biju K. Raveendran ◽  
Geeta Patil

2021 ◽  
Vol 18 (3) ◽  
pp. 1-22
Author(s):  
Michael Stokes ◽  
David Whalley ◽  
Soner Onder

While data filter caches (DFCs) have been shown to be effective at reducing data access energy, they have not been adopted in processors due to the associated performance penalty caused by high DFC miss rates. In this article, we present a design that both decreases the DFC miss rate and completely eliminates the DFC performance penalty even for a level-one data cache (L1 DC) with a single cycle access time. First, we show that a DFC that lazily fills each word in a DFC line from an L1 DC only when the word is referenced is more energy-efficient than eagerly filling the entire DFC line. For a 512B DFC, we are able to eliminate loads of words into the DFC that are never referenced before being evicted, which occurred for about 75% of the words in 32B lines. Second, we demonstrate that a lazily word filled DFC line can effectively share and pack data words from multiple L1 DC lines to lower the DFC miss rate. For a 512B DFC, we completely avoid accessing the L1 DC for loads about 23% of the time and avoid a fully associative L1 DC access for loads 50% of the time, where the DFC only requires about 2.5% of the size of the L1 DC. Finally, we present a method that completely eliminates the DFC performance penalty by speculatively performing DFC tag checks early and only accessing DFC data when a hit is guaranteed. For a 512B DFC, we improve data access energy usage for the DTLB and L1 DC by 33% with no performance degradation.


2021 ◽  
Author(s):  
Hugo Tárrega ◽  
Alejandro Valero ◽  
Vicente Lorente ◽  
Salvador Petit ◽  
Julio Sahuquillo
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document