vliw architectures
Recently Published Documents


TOTAL DOCUMENTS

112
(FIVE YEARS 2)

H-INDEX

11
(FIVE YEARS 0)

Electronics ◽  
2021 ◽  
Vol 10 (15) ◽  
pp. 1835
Author(s):  
Yohan Ko ◽  
Soohwan Kim ◽  
Hyunchoong Kim ◽  
Kyoungwoo Lee

Very Long Instruction Word, or VLIW, architectures have received much attention in specific-purpose applications such as scientific computation, digital signal processing, and even safety-critical systems. Several compilation techniques for VLIW architectures have been proposed in order to improve the performance, but there is a lack of research to improve reliability against soft errors. Instruction duplication techniques have been proposed by exploiting unused instruction slots (i.e., NOPs) in VLIW architectures. All the instructions cannot be replicated without additional code lines. Additional code lines are required to increase the number of duplicated instructions in VLIW architectures. Our experimental results show that 52% performance overhead as compared to unprotected source code when we duplicate all the instructions. This considerable performance overhead can be inapplicable for resource-constrained embedded systems so that we can limit the number of additional NOP instructions for selective protection. However, the previous static scheme duplicates instructions just in sequential order. In this work, we propose packing-oriented duplication to maximize the number of duplicated instructions within the same peroformance overhead bounds. Our packing-oriented approach can duplicate up to 18% more instructions within the same performance overheads compared to the previous static duplication techniques.


2014 ◽  
Vol 49 (5) ◽  
pp. 135-144
Author(s):  
Jinyong Lee ◽  
Jongwon Lee ◽  
Jongeun Lee ◽  
Yunheung Paek
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document