scholarly journals Fast modeling L2 cache reuse distance histograms using combined locality information from software traces

2020 ◽  
Vol 108 ◽  
pp. 101745
Author(s):  
Ming Ling ◽  
Jiancong Ge ◽  
Guangmin Wang
Author(s):  
Nevine AbouGhazaleh ◽  
Alexandre Ferreira ◽  
Cosmin Rusu ◽  
Ruibin Xu ◽  
Frank Liberato ◽  
...  

2007 ◽  
Vol 42 (7) ◽  
pp. 41-50 ◽  
Author(s):  
Nevine AbouGhazaleh ◽  
Alexandre Ferreira ◽  
Cosmin Rusu ◽  
Ruibin Xu ◽  
Frank Liberato ◽  
...  

Author(s):  
Yehia Arafa ◽  
Gopinath Chennupati ◽  
Atanu Barai ◽  
Abdel-Hameed A. Badawy ◽  
Nandakishore Santhi ◽  
...  

Author(s):  
Ram Prasad Mohanty ◽  
Ashok Kumar Turuk ◽  
Bibhudatta Sahoo

The growing number of cores increases the demand for a powerful memory subsystem which leads to enhancement in the size of caches in multicore processors. Caches are responsible for giving processing elements a faster, higher bandwidth local memory to work with. In this chapter, an attempt has been made to analyze the impact of cache size on performance of Multi-core processors by varying L1 and L2 cache size on the multicore processor with internal network (MPIN) referenced from NIAGRA architecture. As the number of core's increases, traditional on-chip interconnects like bus and crossbar proves to be low in efficiency as well as suffer from poor scalability. In order to overcome the scalability and efficiency issues in these conventional interconnect, ring based design has been proposed. The effect of interconnect on the performance of multicore processors has been analyzed and a novel scalable on-chip interconnection mechanism (INOC) for multicore processors has been proposed. The benchmark results are presented by using a full system simulator. Results show that, using the proposed INoC, compared with the MPIN; the execution time are significantly reduced.


Sign in / Sign up

Export Citation Format

Share Document