Significance of LED lights in enhancing the production of vinegar using Acetobacter pasteurianus AP01

Author(s):  
Jeong-Muk Lim ◽  
Seong-Hyeon Lee ◽  
Do-Youn Jeong ◽  
Seung-Wha Jo ◽  
Seralathan Kamala-Kannan ◽  
...  
2003 ◽  
Author(s):  
Charles Thomas Parker ◽  
Nicole Danielle Osier ◽  
George M Garrity ◽  
Dorothea Taylor

2011 ◽  
Vol 187 ◽  
pp. 741-745 ◽  
Author(s):  
Juan Hua Zhu ◽  
Ang Wu ◽  
Juan Fang Zhu

A digital clock system designed by using VHDL hardware description language is presented in this paper. The proposed architecture fully utilizes the digital clock system available on FPGA chips based top-down design method in the Quartus II development environment. The Clock system is divided into four design modules: core module, frequency_division module, display module and tune module. It not only can time accurately and display time, but also can reset and adjust time. The LED lights will flash and the loudspeaker will tell time on the hour. The architecture is implemented and verified experimentally on a FPGA board. Because of the universality of digital clock and the portability of VHDL language, it can be applied directly in various designs based on FPGA chip.


Sign in / Sign up

Export Citation Format

Share Document