Localization of Bugs in Processor Designs Using zamiaCAD Framework

Author(s):  
Anton Tepurov ◽  
Valentin Tihhomirov ◽  
Maksim Jenihhin ◽  
Jaan Raik ◽  
Gunter Bartsch ◽  
...  
Keyword(s):  
2012 ◽  
Vol 2012 ◽  
pp. 1-12 ◽  
Author(s):  
Kaveh Aasaraai ◽  
Andreas Moshovos

Soft processors often use data caches to reduce the gap between processor and main memory speeds. To achieve high efficiency, simple, blocking caches are used. Such caches are not appropriate for processor designs such as Runahead and out-of-order execution that require nonblocking caches to tolerate main memory latencies. Instead, these processors use non-blocking caches to extract memory level parallelism and improve performance. However, conventional non-blocking cache designs are expensive and slow on FPGAs as they use content-addressable memories (CAMs). This work proposes NCOR, an FPGA-friendly non-blocking cache that exploits the key properties of Runahead execution. NCOR does not require CAMs and utilizes smart cache controllers. A 4 KB NCOR operates at 329 MHz on Stratix III FPGAs while it uses only 270 logic elements. A 32 KB NCOR operates at 278 Mhz and uses 269 logic elements.


Author(s):  
J.-C. Lo ◽  
S. Thanawastien ◽  
T.R.N. Rao ◽  
M. Nicolaidis
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document