superscalar processor
Recently Published Documents


TOTAL DOCUMENTS

100
(FIVE YEARS 2)

H-INDEX

14
(FIVE YEARS 1)

2018 ◽  
Vol 28 (02) ◽  
pp. 1950020 ◽  
Author(s):  
Yumin Hou ◽  
Xu Wang ◽  
Jiawei Fu ◽  
Junping Ma ◽  
Hu He ◽  
...  

In order to expand the computation capability of digital signal processing on a General Purpose Processor (GPP), we propose a fused microarchitecture that improves Instruction Level Parallelism (ILP) by supporting both in-order superscalar and very long instruction word (VLIW) dispatch methods in a single pipeline. This design is based on ARMv7-A&R Instruction Set Architecture (ISA). To provide a performance comparison, we first design an in-order superscalar processor, considering that ARM GPPs always adopt superscalar approaches. And then we expand VLIW dispatch method based on this processor, to realize the fused microarchitecture. The two designs are both evaluated on the Xilinx 7-series FPGA (XC7K325T-2FFG900C), using Xilinx Vivado design suite. The results show that, compared with the superscalar processor, the processor working under VLIW mode can improve the performance by 15% and 8%, respectively, when running EEMBC and DSPstone benchmarks. We also run the two benchmarks on ARM Cortex-A9 processor, which is integrated in the Zynq-7000 AP SoC device on Xilinx ZC706 evaluation board. The processor in VLIW mode shows 44% and 30% performance improvements than ARM Cortex-A9. The fused microarchitecture adopts a combined bimodal and PAp branch prediction method. This method achieves 93.7% prediction accuracy with limited hardware overhead.


2018 ◽  
Vol 17 (1) ◽  
pp. 9-12 ◽  
Author(s):  
Sam Van Den Steen ◽  
Lieven Eeckhout

Author(s):  
Rangeen Basu Roy Chowdhury ◽  
Anil K. Kannepalli ◽  
Eric Rotenberg

2016 ◽  
Vol 8 (2) ◽  
pp. 122-128
Author(s):  
Lin Meng ◽  
Kosaku Fukuda ◽  
Takeshi Kumaki ◽  
Takeshi Ogura

Sign in / Sign up

Export Citation Format

Share Document