High Performance Task Scheduling Algorithm for Heterogeneous Computing System

Author(s):  
E. Ilavarasan ◽  
P. Thambidurai ◽  
R. Mahilmannan
Author(s):  
Hui Xie ◽  
Li Wei ◽  
Dong Liu ◽  
Luda Wang

Task scheduling problem of heterogeneous computing system (HCS), which with increasing popularity, nowadays has become a research hotspot in this domain. The task scheduling problem of HCS, which can be described essentially as assigning tasks to the proper processor for executing, has been shown to be NP-complete. However, the existing scheduling algorithm suffers from an inherent limitation of lacking global view. Here, we reported a novel task scheduling algorithm based on Multi-Logistic Regression theory (called MLRS) in heterogeneous computing environment. First, we collected the best scheduling plans as the historical training set, and then a scheduling model was established by which we could predict the following schedule action. Through the analysis of experimental results, it is interpreted that the proposed algorithm has better optimization effect and robustness.


Author(s):  
Suhelah Sandokji Suhelah Sandokji

The heterogeneous Computing (HC) is the promised paradigm for high performance computing. In HC the vastly different architectures and programming models of each type of the computing unit, present several challenges in achieving collaborative computing. Task scheduling is the main critical aspect in managing these challenges. In this paper, a Communication and Computation Aware task scheduler framework (CCATSF) is introduced. The proposed task scheduling framework consist of four parts; the first of which is the resource monitor, the second is the resources manager, the third is the task scheduler and the fourth the dispatcher. We also introduce DVR-HEFT algorithm a new hybrid task scheduling algorithm, on which the framework is based. Our results indicate that CCATSF framework based on algorithm is able to reduce the scheduler's makespan without increasing the algorithm's time complicity.


2016 ◽  
Vol 2016 ◽  
pp. 1-11 ◽  
Author(s):  
Guan Wang ◽  
Yuxin Wang ◽  
Hui Liu ◽  
He Guo

High-performance heterogeneous computing systems are achieved by the use of efficient application scheduling algorithms. However, most of the current algorithms have low efficiency in scheduling. Aiming at solving this problem, we propose a novel task scheduling algorithm for heterogeneous computing named HSIP (heterogeneous scheduling algorithm with improved task priority) whose functionality relies on three pillars: (1) an improved task priority strategy based on standard deviation with improved magnitude as computation weight and communication cost weight to make scheduling priority more reasonable; (2) an entry task duplication selection policy to make the makespan shorter; and (3) an improved idle time slots (ITS) insertion-based optimizing policy to make the task scheduling more efficient. We evaluate our proposed algorithm on randomly generated DAGs, using some real application DAGs by comparison with some classical scheduling algorithms. According to the experimental results, our proposed algorithm appears to perform better than other algorithms in terms of schedule length ratio, efficiency, and frequency of best results.


2014 ◽  
Vol 513-517 ◽  
pp. 2398-2402
Author(s):  
Dian Hong Wu

Embedded system has been widely used in the network, server, etc., and it has a good application prospect with the development of Internet of things. In the embedded heterogeneous computing system, task scheduling is the key to deciding the system performance. For multi-task scheduling, the current scheduling algorithm is mostly based on task duplication, without a full consideration of the correlation between the predecessor task and its subsequent tasks. Based on modeling the multi-frame task scheduling problem in the heterogeneous embedded system, this paper analyzes the availability of tasks through the design of genetic algorithm, so as to verify the algorithm's feasibility, which is of important guiding significance for the multi-task scheduling in the embedded heterogeneous computing system.


Sign in / Sign up

Export Citation Format

Share Document