An Ultra-Low-Power QRS-Detection System Based on Level-Crossing Sampling

Author(s):  
Seyed Amin Hosseini Sabzevari ◽  
Nassim Ravanshad ◽  
Hamidreza Rezaee-Dehsorkh
2019 ◽  
Vol 66 (2) ◽  
pp. 669-679 ◽  
Author(s):  
Temesghen Tekeste ◽  
Hani Saleh ◽  
Baker Mohammad ◽  
Mohammed Ismail

2012 ◽  
Vol 4 ◽  
pp. 27-31 ◽  
Author(s):  
Cheng Shi Luo

This paper describes an automotive tire monitoring and warning system based on ZigBee wireless network. By using some components with ultra-low power like ZigBee network device, SP12 Sensor of Infineon which is made for TPMS application, MSP430F149 of TI Company, etc., the problem of inadequate service life of automotive tire pressure detection system is successfully solved. And the keyless door, automatic windows, automatic wipers, etc. of automobile are organized into an intelligent wireless network by applying ZigBee network. This paper describes the main components and provides the hardware diagram.


Electronics ◽  
2020 ◽  
Vol 9 (3) ◽  
pp. 478
Author(s):  
Youngbae Kim ◽  
Heekyung Kim ◽  
Nandakishor Yadav ◽  
Shuai Li ◽  
Kyuwon Ken Choi

In the implementation process of a convolution neural network (CNN)-based object detection system, the primary issues are power dissipation and limited throughput. Even though we utilize ultra-low power dissipation devices, the dynamic power dissipation issue will be difficult to resolve. During the operation of the CNN algorithm, there are several factors such as the heating problem generated from the massive computational complexity, the bottleneck generated in data transformation and by the limited bandwidth, and the power dissipation generated from redundant data access. This article proposes the low-power techniques, applies them to the CNN accelerator on the FPGA and ASIC design flow, and evaluates them on the Xilinx ZCU-102 FPGA SoC hardware platform and 45 nm technology for ASIC, respectively. Our proposed low-power techniques are applied at the register-transfer-level (RT-level), targeting FPGA and ASIC. In this article, we achieve up to a 53.21% power reduction in the ASIC implementation and saved 32.72% of the dynamic power dissipation in the FPGA implementation. This shows that our RTL low-power schemes have a powerful possibility of dynamic power reduction when applied to the FPGA design flow and ASIC design flow for the implementation of the CNN-based object detection system.


2017 ◽  
Vol 64 (9) ◽  
pp. 2495-2507 ◽  
Author(s):  
Jun Zhou ◽  
Amir Tofighi Zavareh ◽  
Robin Gupta ◽  
Liang Liu ◽  
Zhongfeng Wang ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document