Functional Test Generation for Digital Circuits Described Using Binary Decision Diagrams

1986 ◽  
Vol C-35 (4) ◽  
pp. 375-379 ◽  
Author(s):  
Abadir ◽  
Reghbati
2011 ◽  
Vol 24 (3) ◽  
pp. 325-339 ◽  
Author(s):  
Ahti Peder ◽  
Härmel Nestra ◽  
Jaan Raik ◽  
Mati Tombak ◽  
Raimund Ubar

Structurally synthesized binary decision diagrams (SSBDD) are a special type of BDDs that are generated by superposition according to the structure of propositional formula. Fast algorithms for simulation, diagnostic reasoning and test generation running on SSBDDs exploit their specific properties. Hence the correctness of SSBDDs should be checked before using those algorithms. The problem of recognizing SSBDDs can be reduced to the problem of recognizing their skeleton, namely superpositional graphs, which are a proper subclass of binary graphs. This paper presents linear time algorithms for testing whether a binary graph is a superpositional graph and for restoring the history of its generating process.


Author(s):  
A. A. Prihozhy

Addition is one of the timing critical operations in most of modern processing units. For decades, extensive research has been done devoted to designing higher speed and less complex adder architectures, and to developing advanced adder implementation technologies. Decision diagrams are a promising approach to the efficient many-bit adder design. Since traditional binary decision diagrams does not match perfectly with the task of modelling adder architectures, other types of diagram were proposed. If-decision diagrams provide a parallel many-bit adder model with the time complexity of Ο(log2n) and area complexity of Ο(n×log2n). The paper propose a technique, which produces adder diagrams with such properties by systematically cutting the diagram’s longest paths. The if-diagram based adders are competitive to the known efficient Brent-Kung adder and its numerous modifications. We propose a blocked structure of the parallel if-diagram-based adders, and introduce an adder table representation, which is capable of systematic producing if-diagram of any bit-width. The representation supports an efficient mapping of the adder diagrams to VHDL-modules at structural and dataflow levels. The paper also shows how to perform the adder space exploration depending on the circuit fan-out. FPGA-based synthesis results and case-study comparisons of the if-diagram-based adders to the Brent-Kung and majority-invertor gate adders show that the new adder architecture leads to faster and smaller digital circuits.


Sign in / Sign up

Export Citation Format

Share Document