Dynamical analysis of Josephson junction neuron model driven by a thermal signal and its digital implementation based on microcontroller

2021 ◽  
Vol 94 (12) ◽  
Author(s):  
Noel Freddy Fotie Foka ◽  
Balamurali Ramakrishnan ◽  
André Rodrigue Tchamda ◽  
Sifeu Takougang Kingni ◽  
Karthikeyan Rajagopal ◽  
...  
2017 ◽  
Vol 27 (12) ◽  
pp. 1750187 ◽  
Author(s):  
Guodong Ren ◽  
Ping Zhou ◽  
Jun Ma ◽  
Ning Cai ◽  
Ahmed Alsaedi ◽  
...  

Neuron models are available for computational neurodynamics and the main dynamical properties can be reproduced in the numerical scheme for further dynamical analysis. During model setting, some important biophysical factors should be considered and thus reliable neuron models can be approached. In this paper, a neuron model driven by autapse connection is investigated with the effect of electromagnetic induction being considered as well. A digital neuronal circuit is designed by using FPGA, the dynamical response and biological function of autapse connection. It is found that positive feedback in autapse can modulate the oscillating behaviors in the digital circuit, which could be effective for further investigation on digital neuronal network.


2018 ◽  
Vol 12 (1) ◽  
pp. 47-57 ◽  
Author(s):  
Elahe Rahimian ◽  
Soheil Zabihi ◽  
Mahmood Amiri ◽  
Bernabe Linares-Barranco

2017 ◽  
Vol 23 (1) ◽  
pp. 10-14 ◽  
Author(s):  
Timothée Levi ◽  
Farad Khoyratee ◽  
Sylvain Saïghi ◽  
Yoshiho Ikeuchi

2015 ◽  
Vol 91 (2) ◽  
Author(s):  
Finn Müller-Hansen ◽  
Felix Droste ◽  
Benjamin Lindner

Author(s):  
M. A. Bañuelos-Saucedo ◽  
J. Castillo-Hernández ◽  
S. Quintana-Thierry ◽  
R. Damián-Zamacona ◽  
J. Valeriano-Assem ◽  
...  

Artificial neural networks base their processing capabilities in a parallel architecture, and this makes them useful to solve pattern recognition, system identification, and control problems. In this paper, we present a FPGA (Field Programmable Gate Array) based digital implementation of a McCulloch-Pitts type of neuron model with three types of non-linear activation function: step, ramp-saturation, and sigmoid. We present the VHDL language code used to implement the neurons as well as to present simulation results obtained with Xilinx Foundation 3.0 software. The results are analyzed in terms of speed and percentage of chip usage.


Sign in / Sign up

Export Citation Format

Share Document