scholarly journals Memory and Cache Contention Denial-of-Service Attack in Mobile Edge Devices

2021 ◽  
Vol 11 (5) ◽  
pp. 2385
Author(s):  
Won Cho ◽  
Joonho Kong

In this paper, we introduce a memory and cache contention denial-of-service attack and its hardware-based countermeasure. Our attack can significantly degrade the performance of the benign programs by hindering the shared resource accesses of the benign programs. It can be achieved by a simple C-based malicious code while degrading the performance of the benign programs by 47.6% on average. As another side-effect, our attack also leads to greater energy consumption of the system by 2.1× on average, which may cause shorter battery life in the mobile edge devices. We also propose detection and mitigation techniques for thwarting our attack. By analyzing L1 data cache miss request patterns, we effectively detect the malicious program for the memory and cache contention denial-of-service attack. For mitigation, we propose using instruction fetch width throttling techniques to restrict the malicious accesses to the shared resources. When employing our malicious program detection with the instruction fetch width throttling technique, we recover the system performance and energy by 92.4% and 94.7%, respectively, which means that the adverse impacts from the malicious programs are almost removed.

2005 ◽  
Vol 9 (4) ◽  
pp. 363-365 ◽  
Author(s):  
A. Shevtekar ◽  
K. Anantharam ◽  
N. Ansari

2021 ◽  
Author(s):  
Alan Barzilay ◽  
Caio L. Martinelli ◽  
Michele Nogueira ◽  
Daniel M. Batista ◽  
Roberto Hirata

Sign in / Sign up

Export Citation Format

Share Document