circuit placement
Recently Published Documents


TOTAL DOCUMENTS

47
(FIVE YEARS 6)

H-INDEX

12
(FIVE YEARS 1)

2021 ◽  
Vol 14 (3) ◽  
pp. 1-28
Author(s):  
Abeer Al-Hyari ◽  
Hannah Szentimrey ◽  
Ahmed Shamli ◽  
Timothy Martin ◽  
Gary Gréwal ◽  
...  

The ability to accurately and efficiently estimate the routability of a circuit based on its placement is one of the most challenging and difficult tasks in the Field Programmable Gate Array (FPGA) flow. In this article, we present a novel, deep learning framework based on a Convolutional Neural Network (CNN) model for predicting the routability of a placement. Since the performance of the CNN model is strongly dependent on the hyper-parameters selected for the model, we perform an exhaustive parameter tuning that significantly improves the model’s performance and we also avoid overfitting the model. We also incorporate the deep learning model into a state-of-the-art placement tool and show how the model can be used to (1) avoid costly, but futile, place-and-route iterations, and (2) improve the placer’s ability to produce routable placements for hard-to-route circuits using feedback based on routability estimates generated by the proposed model. The model is trained and evaluated using over 26K placement images derived from 372 benchmarks supplied by Xilinx Inc. We also explore several opportunities to further improve the reliability of the predictions made by the proposed DLRoute technique by splitting the model into two separate deep learning models for (a) global and (b) detailed placement during the optimization process. Experimental results show that the proposed framework achieves a routability prediction accuracy of 97% while exhibiting runtimes of only a few milliseconds.


2016 ◽  
pp. 301-306
Author(s):  
Andrew A. Kennings ◽  
Igor L. Markov
Keyword(s):  

Author(s):  
Panagiotis Oikonomou ◽  
Thanasis Loukopoulos ◽  
Antonios N. Dadaliaris ◽  
Maria G. Koziri ◽  
Georgios I. Stamoulis

Sign in / Sign up

Export Citation Format

Share Document