detailed placement
Recently Published Documents


TOTAL DOCUMENTS

82
(FIVE YEARS 12)

H-INDEX

13
(FIVE YEARS 2)

Electronics ◽  
2021 ◽  
Vol 11 (1) ◽  
pp. 51
Author(s):  
Zhipeng Huang ◽  
Haishan Huang ◽  
Runming Shi ◽  
Xu Li ◽  
Xuan Zhang ◽  
...  

With several divided stages, placement and routing are the most critical and challenging steps in VLSI physical design. To ensure that physical implementation problems can be manageable and converged in a reasonable runtime, placement/routing problems are usually further split into several sub-problems, which may cause conservative margin reservation and mis-correlation. Therefore, it is desirable to design an algorithm that can accurately and efficiently consider placement and routing simultaneously. In this paper, we propose a detailed placement and global routing co-optimization algorithm while considering complex routing constraints to avoid conservative margin reservation and mis-correlation in placement/routing stages. Firstly, we present a rapidly preprocessing technology based on R-tree to improve the initial routing results. After that, a BFS-based approximate optimal addressing algorithm in 3D is designed to find a proper destination for cell movement. We propose an optimal region selection algorithm based on the partial routing solution to jump out of the local optimal solution. Further, a fast partial net rip-up and rerouted algorithm is used in the process of cell movement. Finally, we adopt an efficient refinement technique to reduce the routing length further. Compared with the top 3 winners according to the 2020 ICCAD CAD contest benchmarks, the experimental results show that our algorithm achieves the best routing length reduction for all cases with a shorter runtime. On average, our algorithm can improve 0.7%, 1.5%, and 1.7% for the first, second, and third place, respectively. In addition, we can still obtain the best results after relaxing the maximum cell movement constraint, which further illustrates the effectiveness of our algorithm.


2021 ◽  
Vol 14 (3) ◽  
pp. 1-28
Author(s):  
Abeer Al-Hyari ◽  
Hannah Szentimrey ◽  
Ahmed Shamli ◽  
Timothy Martin ◽  
Gary Gréwal ◽  
...  

The ability to accurately and efficiently estimate the routability of a circuit based on its placement is one of the most challenging and difficult tasks in the Field Programmable Gate Array (FPGA) flow. In this article, we present a novel, deep learning framework based on a Convolutional Neural Network (CNN) model for predicting the routability of a placement. Since the performance of the CNN model is strongly dependent on the hyper-parameters selected for the model, we perform an exhaustive parameter tuning that significantly improves the model’s performance and we also avoid overfitting the model. We also incorporate the deep learning model into a state-of-the-art placement tool and show how the model can be used to (1) avoid costly, but futile, place-and-route iterations, and (2) improve the placer’s ability to produce routable placements for hard-to-route circuits using feedback based on routability estimates generated by the proposed model. The model is trained and evaluated using over 26K placement images derived from 372 benchmarks supplied by Xilinx Inc. We also explore several opportunities to further improve the reliability of the predictions made by the proposed DLRoute technique by splitting the model into two separate deep learning models for (a) global and (b) detailed placement during the optimization process. Experimental results show that the proposed framework achieves a routability prediction accuracy of 97% while exhibiting runtimes of only a few milliseconds.


Author(s):  
Yong Zhong ◽  
Tao-Chun Yu ◽  
Kai-Chuan Yang ◽  
Shao-Yun Fang
Keyword(s):  

2019 ◽  
Vol 24 (3) ◽  
pp. 1-16
Author(s):  
Jucemar Monteiro ◽  
Marcelo Johann ◽  
Laleh Behjat

Sign in / Sign up

Export Citation Format

Share Document