dark silicon
Recently Published Documents


TOTAL DOCUMENTS

179
(FIVE YEARS 21)

H-INDEX

20
(FIVE YEARS 2)

Author(s):  
Mohammad Salehi ◽  
Florian Kriebel ◽  
Semeen Rehman ◽  
Muhammad Shafique

AbstractPower-constrained fault-tolerance has emerged as a key challenge in the deep sub-micron technology. Multi-/many-core chips can support different hardening modes considering variants of redundant multithreading (RMT). In dark silicon chips, the maximum number of cores that can simultaneously be powered-on (at the full performance level) is constrained by the thermal design power (TDP). The rest of the cores have to be power-gated (i.e., stay “dark”), or the cores have to operate at a lower performance level. It has been predicted that about 25–50% of a many-core chip can potentially be “dark.” In this chapter, a system-level power–reliability management technique is presented. The technique jointly considers multiple hardening modes at the software and hardware levels, each offering distinct power, reliability, and performance properties. Also, a framework for the system-level optimization is introduced which considers different power–reliability–performance management problems for many-core processors depending upon the target system and user constraints.


Electronics ◽  
2020 ◽  
Vol 9 (11) ◽  
pp. 1980
Author(s):  
Mohammed Sultan Mohammed ◽  
Ali A. M. Al-Kubati ◽  
Norlina Paraman ◽  
Ab Al-Hadi Ab Rahman ◽  
M. N. Marsono

Future many-core systems need to handle high power density and chip temperature effectively. Some cores in many-core systems need to be turned off or ‘dark’ to manage chip power and thermal density. This phenomenon is also known as the dark silicon problem. This problem prevents many-core systems from utilizing and gaining improved performance from a large number of processing cores. This paper presents a dynamic thermal-aware performance optimization of dark silicon many-core systems (DTaPO) technique for optimizing dark silicon a many-core system performance under temperature constraint. The proposed technique utilizes both task migration and dynamic voltage frequency scaling (DVFS) for optimizing the performance of a many-core system while keeping system temperature in a safe operating limit. Task migration puts hot cores in low-power states and moves tasks to cooler dark cores to aggressively reduce chip temperature while maintaining high overall system performance. To reduce task migration overhead due to cold start, the source core (i.e., active core) keeps its L2 cache content during the initial migration phase. The destination core (i.e., dark core) can access it to reduce the impact of cold start misses. Moreover, the proposed technique limits tasks migration among cores that share the last level cache (LLC). In the case of major thermal violation and no cooler cores being available, DVFS is used to reduce the hot cores temperature gradually by reducing their frequency. Experimental results for different threshold temperatures show that DTaPO can keep the average system temperature below the thermal limit. Affirmatively, the execution time penalty is reduced by up to 18% compared with using only DVFS for all thermal thresholds. Moreover, the average peak temperature is reduced by up to 10.8°C. In addition, the experimental results show that DTaPO improves the system’s performance by up to 80% compared to optimal sprinting patterns (OSP) and reduces the temperature by up to 13.6°C.


2020 ◽  
Author(s):  
Liana Duenha ◽  
Rhayssa Sonohata ◽  
Danillo Arigoni ◽  
Ricardo Santos
Keyword(s):  

Simuladores de sistemas heterogêneos GP-GPU procuram oferecer acurácia de desempenho ao custo de elevado tempo de execução. Com o objetivo de evitar o custoso processo de simulação durante as etapas de exploração arquitetural de sistemas baseados em GPUs, desenvolvemos e avaliamos diversos preditores de desempenho de GPUs baseados em algoritmos de aprendizado de máquina com acurácia e baixo custo computacional. A qualidade dos preditores desenvolvidos neste trabalho foi avaliada por meio de métricas como coeficiente de determinação, score de treinamento e validação cruzada. Preditores baseados nas técnicas de Random Forest e SVR apresentaram os melhores resultados tanto em acurácia quanto performance.


2020 ◽  
Author(s):  
Daniela Catalan ◽  
Ricardo Ribeiro Dos Santos
Keyword(s):  

O problema de dark silicon surgiu com o incremento da corrente de fuga em consequência da miniaturização dos transistores. Pesquisas a fim de encontrar soluções para mitigar o dark silicon têm sido estudadas, muitas delas propondo a heterogeneidade de dispositivos de processamento. Contudo, o aumento da diversidade de dispositivos e dos objetivos na definição de sistemas heterogêneos e de alto desempenho, tornam o projeto de tais sistemas mais complexo, exigindo mecanismos automatizados de exploração de espaço de projeto cientes de dark silicon. Uma solução promissora é a utilização da computação aproximada, na qual, componentes de hardware e software utilizam a aproximação ao invés da precisão das operações, aceitando perda de qualidade de saída para melhorar a eficiência energética e obter ganhos de desempenho. Este trabalho objetiva obter soluções eficientes para o problema de exploração de projetos de processadores cientes de dark silicon, utilizando módulos de computação aproximada como elementos factíveis de um sistema computacional heterogêneo.


2020 ◽  
pp. 1-1
Author(s):  
Hai Wang ◽  
Wei Li ◽  
Wenjie Qi ◽  
Diya Tang ◽  
Letian Huang ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document