SRAM on-chip monitoring methodology for high yield and energy efficient memory operation at near threshold voltage

Integration ◽  
2020 ◽  
Vol 74 ◽  
pp. 81-92
Author(s):  
Taehwan Kim ◽  
Kwangok Jeong ◽  
Jungyun Choi ◽  
Taewhan Kim ◽  
Kyumyung Choi
2019 ◽  
Vol 15 (2) ◽  
pp. 115-128 ◽  
Author(s):  
Chidhambaranathan Rajamanikkam ◽  
J. S. Rajesh ◽  
Koushik Chakraborty ◽  
Sanghamitra Roy

2018 ◽  
Vol 8 (3) ◽  
pp. 28
Author(s):  
Yunfei Gu ◽  
Dengxue Yan ◽  
Vaibhav Verma ◽  
Pai Wang ◽  
Mircea Stan ◽  
...  

Energy-efficient microprocessors are essential for a wide range of applications. While near-threshold computing is a promising technique to improve energy efficiency, optimal supply demands from logic core and on-chip memory are conflicting. In this paper, we perform static reliability analysis of 6T SRAM and discover the variance among different sizing configuration and asymmetric minimum voltage requirements between read and write operations. We leverage this asymmetric property i n near-threshold processors equipped with voltage boosting capability by proposing an opportunistic dual-supply switching scheme with a write aggregation buffer. Our results show that proposed technique improves energy efficiency by more than 21.45% with approximate 10.19% performance speed-up.


Author(s):  
Yunfei Gu ◽  
Dengxue Yan ◽  
Vaibhav Verma ◽  
Pai Wang ◽  
Mircea Stan ◽  
...  

Energy-efficient microprocessors are essential for a wide range of applications. While near-threshold computing is a promising technique to improve energy efficiency, optimal supply demands from logic core and on-chip memory are conflicting. In this paper, we perform static reliability analysis of 6T SRAM and discover the variance among different sizing configuration and asymmetric minimum voltage requirements between read and write operations. We leverage this asymmetric property in near-threshold processors equipped with voltage boosting capability by proposing an opportunistic dual-supply switching scheme with a write aggregation buffer. Our results show that proposed technique improves energy efficiency by more than 21.45% with approximate 10.19% performance speed-up.


Author(s):  
Yunfei Gu ◽  
Dengxue Yan ◽  
Vaibhav Verma ◽  
Pai Wang ◽  
Mircea R. Stan ◽  
...  

Energy-efficient microprocessors are essential for a wide range of applications. While near-threshold computing is a promising technique to improve energy efficiency, optimal supply demands from logic core and on-chip memory are conflicting. In this paper, we perform static reliability analysis of 6T SRAM and discover the variance among different sizing configuration and asymmetric minimum voltage requirements between read and write operations. We leverage this asymmetric property in near-threshold processors equipped with voltage boosting capability by proposing an opportunistic dual-supply switching scheme with a write aggregation buffer. Our results show that proposed technique improves energy efficiency by more than 21.45% with approximate 10.19% performance speed-up.


2018 ◽  
Vol 17 (2) ◽  
pp. 774-783 ◽  
Author(s):  
Pramod Kumar Patel ◽  
M. M. Malik ◽  
Tarun K. Gupta

Sign in / Sign up

Export Citation Format

Share Document