DS2: Handling Data Skew Using Data Stealings over High-Speed Networks

Author(s):  
Zeyu He ◽  
Zhifang Li ◽  
Xiaoshuang Peng ◽  
Chuliang Weng
2021 ◽  
Vol 7 ◽  
pp. 237802312098820
Author(s):  
Thurston Domina ◽  
Linda Renzulli ◽  
Brittany Murray ◽  
Alma Nidia Garza ◽  
Lysandra Perez

Using data from a spring 2020 survey of nearly 10,000 parents of elementary school parents in one large southeastern public school district, the authors investigate predictors of elementary school student engagement during the initial period of pandemic remote learning. The authors hypothesize that household material and technological resources, school programming and instructional strategies, and family social capital contribute to student engagement in remote learning. The analyses indicate that even after controlling for rich measures of family socioeconomic resources, students with access to high-speed Internet and Internet-enabled devices have higher levels of engagement. Exposure to more diverse socioemotional and academic learning opportunities further predicts higher levels of engagement. In addition, students whose families remained socially connected to other students’ families were more likely to engage online.


Electronics ◽  
2021 ◽  
Vol 10 (12) ◽  
pp. 1399
Author(s):  
Taepyeong Kim ◽  
Sangun Park ◽  
Yongbeom Cho

In this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are currently used are large in design size and complex to implement in order to handle a high speed and a wide bandwidth. Therefore, depending on the AI application, there are cases where the circuit size of the memory system is larger than that of the AI core. In this study, SDRAM, which has a lower performance than the currently used memory system but does not have a problem in operating AI, was used and all circuits were implemented digitally for simple and efficient implementation. In particular, a delay controller was designed to reduce the error due to data skew inside the memory bus to ensure stability in reading and writing data. First of all, it verified the memory system based on the You Only Look Once (YOLO) algorithm in FPGA to confirm that the memory system proposed in AI works efficiently. Based on the proven memory system, we implemented a chip using Samsung Electronics’ 65 nm process and tested it. As a result, we designed a simple and efficient memory system for AI chip implementation and verified it with hardware.


2021 ◽  
pp. 1-1
Author(s):  
Boyu Zhang ◽  
Yu-E Sun ◽  
Yang Du ◽  
He Huang ◽  
Guoju Gao ◽  
...  

2006 ◽  
Vol 22 (8) ◽  
pp. 1004-1010 ◽  
Author(s):  
Andrei Hutanu ◽  
Gabrielle Allen ◽  
Stephen D. Beck ◽  
Petr Holub ◽  
Hartmut Kaiser ◽  
...  

2008 ◽  
Vol 6 (11) ◽  
pp. 807-811 ◽  
Author(s):  
Amit Kumar Garg Amit Kumar Garg ◽  
R S Kaler R. S. Kaler

Sign in / Sign up

Export Citation Format

Share Document