scholarly journals Study on the Implementation of a Simple and Effective Memory System for an AI Chip

Electronics ◽  
2021 ◽  
Vol 10 (12) ◽  
pp. 1399
Author(s):  
Taepyeong Kim ◽  
Sangun Park ◽  
Yongbeom Cho

In this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are currently used are large in design size and complex to implement in order to handle a high speed and a wide bandwidth. Therefore, depending on the AI application, there are cases where the circuit size of the memory system is larger than that of the AI core. In this study, SDRAM, which has a lower performance than the currently used memory system but does not have a problem in operating AI, was used and all circuits were implemented digitally for simple and efficient implementation. In particular, a delay controller was designed to reduce the error due to data skew inside the memory bus to ensure stability in reading and writing data. First of all, it verified the memory system based on the You Only Look Once (YOLO) algorithm in FPGA to confirm that the memory system proposed in AI works efficiently. Based on the proven memory system, we implemented a chip using Samsung Electronics’ 65 nm process and tested it. As a result, we designed a simple and efficient memory system for AI chip implementation and verified it with hardware.

1964 ◽  
Author(s):  
H. Amemiya ◽  
T. R. Mayhew ◽  
R. L. Pryor
Keyword(s):  

2013 ◽  
Vol 367 ◽  
pp. 541-543
Author(s):  
Yun Peng Li

This article focuses on research and implementation of a kind of solid storage system that is based on NAND flash which can store the data with high speed and huge capacity. A design with quad 1.25Gsps ADC and flash storage array with 1TB is demonstrated in the paper. The design is applied widely in many fields such as radar, communication and speech recognition. The detail of hardware development is also introduced in the thesis. In addition, a method is discussed to approve the reading and writing bandwidth by parallel operations on multiple pieces of flash. By using the method, the data bandwidth is arrived 6GB/S.


2008 ◽  
Vol 16 (4) ◽  
pp. 2547 ◽  
Author(s):  
Min Yong Jeon ◽  
Jun Zhang ◽  
Qiang Wang ◽  
Zhongping Chen

1966 ◽  
Vol 2 (3) ◽  
pp. 529-529 ◽  
Author(s):  
T. Finch ◽  
S. Waaben
Keyword(s):  

2018 ◽  
Vol 43 (5) ◽  
pp. 691-717 ◽  
Author(s):  
Joy Olabisi ◽  
Kyle Lewis

In this article, we suggest that the transactive memory system (TMS) and boundary-spanning literatures are useful for understanding how individuals in team-based collectives can be structured to improve within- and between-team coordination. We argue that such coordination can be facilitated—or thwarted—by boundary-spanning behaviors and patterns of knowledge exchange within and between teams. Our theorizing explains how an existing team TMS can offset the within-team coordination burdens typically associated with boundary spanning and we offer predictions about how these factors interrelate to affect TMS and coordination over time. Finally, our theory underscores significant implications and provides insights for how management practices might improve coordination within and between teams.


Electronics ◽  
2020 ◽  
Vol 9 (12) ◽  
pp. 2158
Author(s):  
Jeong-Geun Kim ◽  
Shin-Dug Kim ◽  
Su-Kyung Yoon

This research is to design a Q-selector-based prefetching method for a dynamic random-access memory (DRAM)/ Phase-change memory (PCM)hybrid main memory system for memory-intensive big data applications generating irregular memory accessing streams. Specifically, the proposed method fully exploits the advantages of two-level hybrid memory systems, constructed as DRAM devices and non-volatile memory (NVM) devices. The Q-selector-based prefetching method is based on the Q-learning method, one of the reinforcement learning algorithms, which determines a near-optimal prefetcher for an application’s current running phase. For this, our model analyzes real-time performance status to set the criteria for the Q-learning method. We evaluate the Q-selector-based prefetching method with workloads from data mining and data-intensive benchmark applications, PARSEC-3.0 and graphBIG. Our evaluation results show that the system achieves approximately 31% performance improvement and increases the hit ratio of the DRAM-cache layer by 46% on average compared to a PCM-only main memory system. In addition, it achieves better performance results compared to the state-of-the-art prefetcher, access map pattern matching (AMPM) prefetcher, by 14.3% reduction of execution time and 12.89% of better CPI enhancement.


1970 ◽  
Vol C-19 (9) ◽  
pp. 793-802
Author(s):  
D.J. Kuck
Keyword(s):  

Sign in / Sign up

Export Citation Format

Share Document