scholarly journals Simultaneous placement and timing optimization using buffer insertion, cell replication and gate sizing

2001 ◽  
Author(s):  
Brian Thomas Nowak
VLSI Design ◽  
2011 ◽  
Vol 2011 ◽  
pp. 1-10 ◽  
Author(s):  
Ying Zhou ◽  
Charles J. Alpert ◽  
Zhuo Li ◽  
Cliff Sze ◽  
Louise H. Trevillyan

Area bloat in physical synthesis not only increases power dissipation, but also creates congestion problems, forces designers to enlarge the die area, rerun the whole design flow, and postpone the design deadline. As a result, it is vital for physical synthesis tools to achieve timing closure and low power consumption with intelligent area control. The major sources of area increase in a typical physical synthesis flow are from buffer insertion and gate sizing, both of which have been discussed extensively in the last two decades, where the main focus is individual optimized algorithm. However, building a practical physical synthesis flow with buffering and gate sizing to achieve the best timing/area/runtime is rarely discussed in any previous literatures. In this paper, we present two simple yet efficient buffering and gate sizing techniques and achieve a physical synthesis flow with much smaller area bloat. Compared to a traditional timing-driven flow, our work achieves 12% logic area growth reduction, 5.8% total area reduction, 10.1% wirelength reduction, and 770 ps worst slack improvement on average on 20 industrial designs in 65 nm and 45 nm.


Sign in / Sign up

Export Citation Format

Share Document