Realization of silicon nanopillar arrays with controllable sidewall profiles by holography lithography and a novel single-step deep reactive ion etching

2010 ◽  
Vol 1258 ◽  
Author(s):  
Yung-Jr Hung ◽  
San-Liang Lee ◽  
Brian J. Thibeault ◽  
Larry A. Coldren

AbstractA simple and efficient approach for fabricating silicon nanopillar arrays with a high aspect ratio and controllable sidewall profiles has been developed by using holographic lithography and a novel single-step deep reactive ion etching. During the etching process, scalloping of the sidewalls can be avoided while reserving the high mask selectivity and high etching rate. Besides, the sidewall angle of resultant patterns can be adjusted by tuning the composition of the gas mixture of single-step DRIE process. We further fabricate a tapered silicon nanopillar array and observe its photonic bandgap property. We believe that the good optical performance of this tapered silicon nanopillar array realized by the proposed approach shows the promising of this process for various applications.

2014 ◽  
Vol 208 ◽  
pp. 66-72 ◽  
Author(s):  
R.K. Chutani ◽  
M. Hasegawa ◽  
V. Maurice ◽  
N. Passilly ◽  
C. Gorecki

Micromachines ◽  
2020 ◽  
Vol 11 (9) ◽  
pp. 864 ◽  
Author(s):  
Zhitian Shi ◽  
Konstantins Jefimovs ◽  
Lucia Romano ◽  
Marco Stampanoni

The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few micrometers has greatly limited imaging applications based on X-ray grating interferometry. A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of grating patterns. To achieve this goal, a modified Coburn–Winters model was applied in order to study the influence of key etching parameters, such as chamber pressure and etching power. The recipe for deep reactive ion etching was carefully fine-tuned based on the experimental results. Silicon gratings with an area of 70 × 70 mm2, pitch size of 1.2 and 2 μm were fabricated using the optimized process with aspect ratio α of ~67 and 77, respectively.


2020 ◽  
Vol 54 (6) ◽  
pp. 672-676
Author(s):  
L. K. Markov ◽  
I. P. Smirnova ◽  
M. V. Kukushkin ◽  
A. S. Pavluchenko

2011 ◽  
Vol 21 (10) ◽  
pp. 105001
Author(s):  
Ahmet Erten ◽  
Milan Makale ◽  
Xuekun Lu ◽  
Bernd Fruhberger ◽  
Santosh Kesari ◽  
...  

2017 ◽  
Vol 9 (27) ◽  
pp. 23263-23263
Author(s):  
Bryan W. K. Woo ◽  
Shannon C. Gott ◽  
Ryan A. Peck ◽  
Dong Yan ◽  
Mathias W. Rommelfanger ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document