Energy dispersive X-ray spectroscopy analysis of Si sidewall surface etched by deep-reactive ion etching

2016 ◽  
Vol 55 (6S1) ◽  
pp. 06GH05 ◽  
Author(s):  
Akihiro Matsutani ◽  
Kunio Nishioka ◽  
Mina Sato
2002 ◽  
Author(s):  
Bor-Yuan Shew ◽  
Ruey-Shing Huang ◽  
Duan-Jen Wang ◽  
Shen-Yaw Perng ◽  
Chien-Kuang Kuan ◽  
...  

CIRP Annals ◽  
2010 ◽  
Vol 59 (1) ◽  
pp. 351-354 ◽  
Author(s):  
H. Yamaguchi ◽  
R.E. Riveros ◽  
I. Mitsuishi ◽  
U. Takagi ◽  
Y. Ezoe ◽  
...  

2003 ◽  
Vol 67-68 ◽  
pp. 453-460 ◽  
Author(s):  
B. Nöhammer ◽  
C. David ◽  
H. Rothuizen ◽  
J. Hoszowska ◽  
A. Simionovici

Micromachines ◽  
2020 ◽  
Vol 11 (9) ◽  
pp. 864 ◽  
Author(s):  
Zhitian Shi ◽  
Konstantins Jefimovs ◽  
Lucia Romano ◽  
Marco Stampanoni

The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few micrometers has greatly limited imaging applications based on X-ray grating interferometry. A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of grating patterns. To achieve this goal, a modified Coburn–Winters model was applied in order to study the influence of key etching parameters, such as chamber pressure and etching power. The recipe for deep reactive ion etching was carefully fine-tuned based on the experimental results. Silicon gratings with an area of 70 × 70 mm2, pitch size of 1.2 and 2 μm were fabricated using the optimized process with aspect ratio α of ~67 and 77, respectively.


2013 ◽  
Vol 23 (12) ◽  
pp. 125018 ◽  
Author(s):  
A M Malik ◽  
O J L Fox ◽  
L Alianelli ◽  
A M Korsunsky ◽  
R Stevens ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document