low power test
Recently Published Documents


TOTAL DOCUMENTS

93
(FIVE YEARS 7)

H-INDEX

8
(FIVE YEARS 0)

Author(s):  
Nadimulla B. ◽  
Aruna Mastani, S.

As the power consumption is more in the processes of testing, test vector set compression and controlling of toggling plays a crucial role in reducing the power consumption during test mode. In exploring the controlling techniques of toggling, Pre-Selected Toggling (PRESTO) of test patterns is a technique that can control the toggling of a test patterns in a precise manner in Built-in Self -Test (BIST) architectures. In this paper we modify the architecture of existing Full Version PRESTO that can be used to generate test vectors and in addition binary sequences used as scan chins such that the controlling of sequence of test vectors depends on number of 1’s present in the switch code which is user defined thus reducing the testing time with significant fault coverage, and in addition the optimization is also observed in area and power. The area has decreased by 12.2% and power consumption by 15.43%. The Synthesis and implementation of the architectures are done using Artix7 (xc7a100tcsg324-3) FPGA family. The simulation results have been analyzed through Mentor-graphics Questa-sim 10.7C


2020 ◽  
Vol 67 (12) ◽  
pp. 3362-3366
Author(s):  
Francisco Garcia-Herrero ◽  
Alfonso Sanchez-Macian ◽  
Juan Antonio Maestro

2019 ◽  
Vol 1350 ◽  
pp. 012082
Author(s):  
Ling Lu ◽  
Chaochao Xing ◽  
Tao He ◽  
Lei Yang ◽  
Xianbo Xu ◽  
...  
Keyword(s):  

This paper refers to implementation of Low Power Built-In-Self-Test (LBIST) and its utilization for testing of 16 bit ALU core. Low Power Test Pattern (LP) Generator is programmable and able to produce pseudorandom test patterns. The programmability feature brings in selectiveness in toggling levels of test patterns. This helps to increase the error coverage gradient. This low power pattern generator consists of a pseudo random pattern generator (PSPR) which can be a linear feedback shift register or ring generator. The test pattern generator allows the production of binary sequences by devices with that selected toggling rate is defined as ‘Preselected toggling’ (PRESTO) activity. In this methodology, controls for operation of generator are selected automatically. Selection of all the controls is made simple and accurate for the tuning. Using this method fault coverage of test pattern generator can be improved as well as pattern count ratio gets improved. The proposed low power test compression method helps to get predictable test patterns. Here preselected toggling based logic BIST is used to get flexible and accurate test patterns hence high quality testing is achieved here with integration of PRESTO and LBIST method.


2019 ◽  
Vol 34 (3) ◽  
pp. 249-255
Author(s):  
Guang Liu ◽  
Yuntao Song ◽  
Gen Chen ◽  
Yanping Zhao ◽  
Antonio Caruso ◽  
...  

Radiofrequency cavity is one of the most critical and complicated components in a cyclotron. Dee voltage of radiofrequency cavity accelerates charged particles to achieve required energy. Peak voltage of Dee is the key parameter of an radiofrequency cavity. Balanced Dee voltage is very important for effective beam cantering and beam extracting. An X-ray measurement has been made to calibrate and verify the peak voltage of Dee in a low-power ( 20 kW) test. The X-ray measurement for radiofrequency cavity was designed by means of bremsstrahlung. A suitable shielding cover was chosen for radiofrequency cavity and the X-ray measurement design was demonstrated according to the theory of photon transmission. Finally, the peak voltage of Dee was obtained at the power of 10-20 kW and the balance of Dee voltage was verified.


2018 ◽  
Vol 34 (6) ◽  
pp. 685-695 ◽  
Author(s):  
Haiying Yuan ◽  
Changshi Zhou ◽  
Xun Sun ◽  
Kai Zhang ◽  
Tong Zheng ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document