2.1 28Gb/s 560mW multi-standard SerDes with single-stage analog front-end and 14-tap decision-feedback equalizer in 28nm CMOS

Author(s):  
Hiroshi Kimura ◽  
Pervez Aziz ◽  
Tai Jing ◽  
Ashutosh Sinha ◽  
Ram Narayan ◽  
...  
2014 ◽  
Vol 49 (12) ◽  
pp. 3091-3103 ◽  
Author(s):  
Hiroshi Kimura ◽  
Pervez M. Aziz ◽  
Tai Jing ◽  
Ashutosh Sinha ◽  
Shiva Prasad Kotagiri ◽  
...  

2021 ◽  
Vol 68 (1) ◽  
pp. 256-260
Author(s):  
Ibrahim Petricli ◽  
Hongyang Zhang ◽  
Enrico Monaco ◽  
Guido Albasini ◽  
Andrea Mazzanti

2017 ◽  
Vol E100.B (3) ◽  
pp. 433-439 ◽  
Author(s):  
Zedong XIE ◽  
Xihong CHEN ◽  
Xiaopeng LIU ◽  
Lunsheng XUE ◽  
Yu ZHAO

2014 ◽  
Vol 9 (9th) ◽  
pp. 1-12
Author(s):  
Mostafa Hosny ◽  
Sameh Ibrahim ◽  
DiaaEldin Khalil ◽  
Mohamed Dessouky

Author(s):  
Raja Krishnamoorthy ◽  
E. Kavitha ◽  
V. Beslin Geo ◽  
K.S.R. Radhika ◽  
C. Bharatiraja

Author(s):  
Zu-Jia Lo ◽  
Bipasha Nath ◽  
Yuan-Chuan Wang ◽  
Yun-Jie Huang ◽  
Hui-Chun Huang ◽  
...  

Author(s):  
Antonio Vincenzo Radogna ◽  
Simonetta Capone ◽  
Luca Francioso ◽  
Pietro Aleardo Siciliano ◽  
Stefano D'Amico

Sign in / Sign up

Export Citation Format

Share Document