scholarly journals Tuning the plasmonic resonance peak for Al nanorods on AlGaN layer to deep ultraviolet band

2021 ◽  
pp. 1-1
Author(s):  
Ziqiang Zhao ◽  
Chunshuang Chu ◽  
Gai Zhang ◽  
Kangkai Tian ◽  
Yonghui Zhang ◽  
...  
2019 ◽  
Vol 33 (08) ◽  
pp. 1950088
Author(s):  
Sipan Yang ◽  
Miao He ◽  
Jianchang Yan ◽  
Kunhua Wen ◽  
Junxi Wang ◽  
...  

Through the silicon modulation-doping (MD) growth method, the electrical performance of AlGaN-based deep ultraviolet light-emitting diodes (DUV-LEDs) is improved by replacing the commonly uniform-doped (UD) method of n-AlGaN layer. The electroluminescence characterisic measurements demonstrate the MD growth method could effectively enhance the light emission intensity. Both the forward voltage and reverse leakage current of the MD samples are obviously reduced compared to those of the UD sample. Due to the existence of periodic Si-MD superlattices in n-AlGaN layers, which may behave like a series of capacitors, the built-in electric fields are formed. Both the measured capacitance–voltage (C–V) characteristics, and related photoluminescence (PL) intensity with the Si-MD growth method are enhanced. In detail, the effects of these capacitors can enhance the peak internal capacitance up to 370 pF in the MD sample, whereas the UD sample is only 180 pF. The results also mean that with better current spreading ability in the MD sample, the MD processes can effectively enhance the efficiency and reliability of DUV-LEDs. Thus, the investigations of the Si-MD growth methods may be useful for improving the electrical performance of DUV-LEDs in future works. Meanwhile, this investigation may partly suggest the minor crystalline quality improvements in the epi-layers succeeding the MD n-AlGaN layer.


2020 ◽  
Vol 708 ◽  
pp. 138103 ◽  
Author(s):  
Byeongchan So ◽  
Changheon Cheon ◽  
Joohyoung Lee ◽  
Junchae Lee ◽  
Taemyung Kwak ◽  
...  

Crystals ◽  
2021 ◽  
Vol 11 (3) ◽  
pp. 271
Author(s):  
Jih-Yuan Chang ◽  
Man-Fang Huang ◽  
Chih-Yung Huang ◽  
Shih-Chin Lin ◽  
Ching-Chiun Wang ◽  
...  

In this study, systematic structural design was investigated numerically to probe into the cross-relating influences of n-AlGaN layer, quantum barrier (QB), and electron-blocking layer (EBL) on the output performance of AlGaN deep-ultraviolet (DUV) light-emitting diodes (LEDs) with various Al compositions in quantum wells. Simulation results show that high-Al-composition QB and high-Al-composition EBL utilized separately are beneficial for the enhancement of carrier confinement, while the wall-plug efficiency (WPE) degrades dramatically if both high-Al-composition QB and EBL are existing in a DUV LED structure simultaneously. DUV LEDs may be of great optical performance with appropriate structural design by fine-tuning the material parameters in n-AlGaN layer, QB, and EBL. The design curves provided in this paper can be very useful for the researchers in developing the DUV LEDs with a peak emission wavelength ranging from 255 nm to 285 nm.


2002 ◽  
Vol 722 ◽  
Author(s):  
Ram W. Sabnis ◽  
Mary J. Spencer ◽  
Douglas J. Guerrero

AbstractNovel organic, polymeric materials and processes of depositing thin films on electronics substrates by chemical vapor deposition (CVD) have been developed and the lithographic behavior of photoresist coated over these CVD films at deep ultraviolet (DUV) wavelength has been evaluated. The specific monomers synthesized for DUV applications include [2.2](1,4)- naphthalenophane, [2.2](9,10)-anthracenophane and their derivatives which showed remarkable film uniformity on flat wafers and conformality over structured topography wafers, upon polymerization by CVD. The chemical, physical and optical properties of the deposited films have been characterized by measuring parameters such as thickness uniformity, solubility, conformality, adhesion to semiconductor substrates, ultraviolet-visible spectra, optical density, optical constants, defectivity, and resist compatibility. Scanning electron microscope (SEM) photos of cross-sectioned patterned wafers showed verticle profiles with no footing, standing waves or undercut. Resist profiles down to 0.10 νm dense lines and 0.09 νm isolated lines were achieved in initial tests. CVD coatings generated 96-100% conformal films, which is a substantial improvement over commercial spin-on polymeric systems. The light absorbing layers have high optical density at 248 nm and are therefore capable materials for DUV lithography applications. CVD is a potentially useful technology to extend lithography for sub-0.15 νm devices. These films have potential applications in microelectronics, optoelectronics and photonics.


Sign in / Sign up

Export Citation Format

Share Document