A Charge-Sharing based 8T SRAM In-Memory Computing for Edge DNN Acceleration

Author(s):  
Kyeongho Lee ◽  
Sungsoo Cheon ◽  
Joongho Jo ◽  
Woong Choi ◽  
Jongsun Park
Keyword(s):  
Micromachines ◽  
2021 ◽  
Vol 12 (4) ◽  
pp. 385
Author(s):  
Qiao Wang ◽  
Donglin Zhang ◽  
Yulin Zhao ◽  
Chao Liu ◽  
Qiao Hu ◽  
...  

Ferroelectric capacitors (FeCAPs) with high process compatibility, high reliability, ultra-low programming current and fast operation speed are promising candidates to traditional volatile and nonvolatile memory. In addition, they have great potential in the fields of storage, computing, and memory logic. Nevertheless, effective methods to realize logic and memory in FeCAP devices are still lacking. This study proposes a 1T2C FeCAP-based in situ bitwise X(N)OR logic based on a charge-sharing function. First, using the 1T2C structure and a two-step write-back circuit, the nondestructive reading is realized with less complexity than the previous work. Second, a method of two-line activation is used during the operation of X(N)OR. The verification results show that the speed, area and power consumption of the proposed 1T2C FeCAP-based bitwise logic operations are significantly improved.


Author(s):  
Filipe D. Baumgratz ◽  
Sandro B. Ferreira ◽  
Michiel Steyaert ◽  
Sergio Bampi ◽  
Filip Tavernier

2019 ◽  
Vol 47 (7) ◽  
pp. 1188-1198 ◽  
Author(s):  
Meysam Akbari ◽  
Omid Hashemipour ◽  
Masoud Nazari ◽  
Farshad Moradi

Author(s):  
Yizhe Hu ◽  
Xi Chen ◽  
Teerachot Siriburanon ◽  
Jianglin Du ◽  
Vivek Govindaraj ◽  
...  

2018 ◽  
Vol 75 ◽  
pp. 128-136 ◽  
Author(s):  
Yanbo Zhang ◽  
Yani Li ◽  
Zhangming Zhu

Sign in / Sign up

Export Citation Format

Share Document