scholarly journals SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects

2013 ◽  
Vol 21 (8) ◽  
pp. 1432-1446 ◽  
Author(s):  
Jacob Postman ◽  
Tushar Krishna ◽  
Christopher Edmonds ◽  
Li-Shiuan Peh ◽  
Patrick Chiang
Author(s):  
N Poornima ◽  
Seetharaman Gopalakrishnan ◽  
Tughrul Arsalan ◽  
T. N. Prabakar ◽  
M. Santhi

2020 ◽  
Vol 2 (3) ◽  
pp. 158-168
Author(s):  
Muhammad Raza Naqvi

Mostly communication now days is done through SoC (system on chip) models so, NoC (network on chip) architecture is most appropriate solution for better performance. However, one of major flaws in this architecture is power consumption. To gain high performance through this type of architecture it is necessary to confirm power consumption while designing this. Use of power should be diminished in every region of network chip architecture. Lasting power consumption can be lessened by reaching alterations in network routers and other devices used to form that network. This research mainly focusses on state-of-the-art methods for designing NoC architecture and techniques to reduce power consumption in those architectures like, network architecture, network links between nodes, network design, and routers.


Author(s):  
Anastasios Psarras ◽  
Junghee Lee ◽  
Pavlos Mattheakis ◽  
Chrysostomos Nicopoulos ◽  
Giorgos Dimitrakopoulos

2010 ◽  
pp. 113-150 ◽  
Author(s):  
Maurizio Palesi ◽  
Rickard Holsmark ◽  
Shashi Kumar ◽  
Vincenzo Catania

Sign in / Sign up

Export Citation Format

Share Document