Two-Stage Multi-bit Flip-Flop Clustering with Useful Skew for Low Power

Author(s):  
Hsu-Yu Kao ◽  
Chu-Han Hsu ◽  
Shih-Hsu Huang
Keyword(s):  
2019 ◽  
Vol 9 (1) ◽  
pp. 3
Author(s):  
Lekbir Cherif ◽  
Mohamed Chentouf ◽  
Jalal Benallal ◽  
Mohammed Darmi ◽  
Rachid Elgouri ◽  
...  

Recently, the multi-bit flip-flop (MBFF) technique was introduced as a method for reducing the power consumption and chip area of integrated circuits (ICs) during the physical implementation stage of their development process. From the perspective of the consumer, the main requirements for such an optimization method are high performance, low power usage and small area (PPA). Therefore, any new optimization technique should improve at least one, if not all, of these requirements. This paper proposes a new low-power methodology, applying a MBFF merging solution during the physical implementation of an IC to achieve better power consumption and area reduction. The aim of this study is to prove the benefit of this methodology on the power saving capability of the system while demonstrating that the proposed methodology does not have a negative impact on the circuit performance and design routability. The experimental results show that MBFF merging of 76% can be achieved and preserved throughout the entire physical implementation process, from cell placement to the final interconnection routing, without impacting the system’s performance or routability. Moreover, the clock wirelength, nets and buffers needed to balance the clock network were reduced by 11.98%, 3.82% and 9.16%, respectively. The reduction of the clock tree elements led to a reduction of the power consumption of the clock nets, registers and cells by 22.11%, 20.84% and 12.38%, respectively. The total power consumption of the design was reduced by 2.67%.


2015 ◽  
Vol 5 (2) ◽  
pp. 34-39
Author(s):  
Palagani Yellappa ◽  
◽  
Mareddi Bharathkumar ◽  
Shaik Shabana Azmi ◽  
◽  
...  
Keyword(s):  

2002 ◽  
Vol 11 (01) ◽  
pp. 51-55
Author(s):  
ROBERT C. CHANG ◽  
L.-C. HSU ◽  
M.-C. SUN

A novel low-power and high-speed D flip-flop is presented in this letter. The flip-flop consists of a single low-power latch, which is controlled by a positive narrow pulse. Hence, fewer transistors are used and lower power consumption is achieved. HSPICE simulation results show that power dissipation of the proposed D flip-flop has been reduced up to 76%. The operating frequency of the flip-flop is also greatly increased.


Sign in / Sign up

Export Citation Format

Share Document