Effects of acid diffusion and resist molecular size on line edge roughness for chemically amplified resists in EUV lithography: Computational study

Author(s):  
Masanori Koyama ◽  
Kyohei Imai ◽  
Masamitsu SHIRAI ◽  
Yoshihiko HIRAI ◽  
Masaaki YASUDA
2007 ◽  
Vol 46 (9B) ◽  
pp. 6187-6190 ◽  
Author(s):  
Hiroki Yamamoto ◽  
Takahiro Kozawa ◽  
Akinori Saeki ◽  
Kazumasa Okamoto ◽  
Seiichi Tagawa ◽  
...  

Author(s):  
Takahiro KOZAWA

Abstract The manufacturing of semiconductor devices using extreme ultraviolet (EUV) lithography started in 2019. A high numerical aperture (NA) tool under development is capable of resolving 8 nm line-and-space optical images and will extend the application of EUV lithography. However, resist materials have not been yet applicable to the production with 8 nm resolution. In this study, the relationships among the half-pitch of line-and-space patterns (resolution), chemical gradient [an indicator of line edge roughness (LER)], and sensitivity were investigated in the sub-10 nm half-pitch region for chemically amplified EUV resists. The chemical gradient was simulated on the basis of their sensitization and reaction mechanisms. The relationship was formulated as a function of total sensitizer concentration (the sum of photoacid generator and photodecomposable quencher concentrations) and the thermalization distance of secondary electrons. The effect of thermalized electrons was well incorporated into the trade-off relationships between resolution, LER, and sensitivity.


AIP Advances ◽  
2017 ◽  
Vol 7 (8) ◽  
pp. 085314 ◽  
Author(s):  
Pulikanti Guruprasad Reddy ◽  
Neha Thakur ◽  
Chien-Lin Lee ◽  
Sheng-Wei Chien ◽  
Chullikkattil P. Pradeep ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document