edge roughness
Recently Published Documents


TOTAL DOCUMENTS

750
(FIVE YEARS 91)

H-INDEX

44
(FIVE YEARS 4)

Author(s):  
Harry Jay Levinson

Abstract High-NA extreme ultraviolet (EUV) lithography is currently in development. Fabrication of exposure tools and optics with a numerical aperture (NA) equal to 0.55 has started at ASML and Carl Zeiss. Lenses with such high NA will have very small depths-of-focus, which will require improved focus systems and significant improvements in wafer flatness during processing. Lenses are anamorphic to address mask 3D issues, which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners. Production of large die will require stitching. Computational infrastructure is being created to support high-NA lithography, including simulators that use Tatian polynomials to characterize the aberrations of lenses with central obscurations. High resolution resists that meet the line-edge roughness (LER) and defect requirements for high-volume manufacturing (HVM) also need to be developed. High power light sources will also be needed to limit photon shot noise.


Sensors ◽  
2021 ◽  
Vol 22 (1) ◽  
pp. 314
Author(s):  
Jan Thiesler ◽  
Thomas Ahbe ◽  
Rainer Tutsch ◽  
Gaoliang Dai

State of the art three-dimensional atomic force microscopes (3D-AFM) cannot measure three spatial dimensions separately from each other. A 3D-AFM-head with true 3D-probing capabilities is presented in this paper. It detects the so-called 3D-Nanoprobes CD-tip displacement with a differential interferometer and an optical lever. The 3D-Nanoprobe was specifically developed for tactile 3D-probing and is applied for critical dimension (CD) measurements. A calibrated 3D-Nanoprobe shows a selectivity ratio of 50:1 on average for each of the spatial directions x, y, and z. Typical stiffness values are kx = 1.722 ± 0.083 N/m, ky = 1.511 ± 0.034 N/m, and kz = 1.64 ± 0.16 N/m resulting in a quasi-isotropic ratio of the stiffness of 1.1:0.9:1.0 in x:y:z, respectively. The probing repeatability of the developed true 3D-AFM shows a standard deviation of 0.18 nm, 0.31 nm, and 0.83 nm for x, y, and z, respectively. Two CD-line samples type IVPS100-PTB, which were perpendicularly mounted to each other, were used to test the performance of the developed true 3D-AFM: repeatability, long-term stability, pitch, and line edge roughness and linewidth roughness (LER/LWR), showing promising results.


Micromachines ◽  
2021 ◽  
Vol 12 (12) ◽  
pp. 1493
Author(s):  
Sang-Kon Kim

Although extreme ultraviolet lithography (EUVL) has potential to enable 5-nm half-pitch resolution in semiconductor manufacturing, it faces a number of persistent challenges. Line-edge roughness (LER) is one of critical issues that significantly affect critical dimension (CD) and device performance because LER does not scale along with feature size. For LER creation and impacts, better understanding of EUVL process mechanism and LER impacts on fin-field-effect-transistors (FinFETs) performance is important for the development of new resist materials and transistor structure. In this paper, for causes of LER, a modeling of EUVL processes with 5-nm pattern performance was introduced using Monte Carlo method by describing the stochastic fluctuation of exposure due to photon-shot noise and resist blur. LER impacts on FinFET performance were investigated using a compact device method. Electric potential and drain current with fin-width roughness (FWR) based on LER and line-width roughness (LWR) were fluctuated regularly and quantized as performance degradation of FinFETs.


Author(s):  
Takahiro KOZAWA

Abstract The manufacturing of semiconductor devices using extreme ultraviolet (EUV) lithography started in 2019. A high numerical aperture (NA) tool under development is capable of resolving 8 nm line-and-space optical images and will extend the application of EUV lithography. However, resist materials have not been yet applicable to the production with 8 nm resolution. In this study, the relationships among the half-pitch of line-and-space patterns (resolution), chemical gradient [an indicator of line edge roughness (LER)], and sensitivity were investigated in the sub-10 nm half-pitch region for chemically amplified EUV resists. The chemical gradient was simulated on the basis of their sensitization and reaction mechanisms. The relationship was formulated as a function of total sensitizer concentration (the sum of photoacid generator and photodecomposable quencher concentrations) and the thermalization distance of secondary electrons. The effect of thermalized electrons was well incorporated into the trade-off relationships between resolution, LER, and sensitivity.


Author(s):  
Duc-Quang HOANG ◽  
Xuan-Huu CAO

Abstract Polycrystalline permalloy nanowires with different widths were studied by means of a Lorentz microscope associated with micro-magnetic simulations. Each nanowire was designed to create a single head-to-head transverse domain wall. Edge defects at the long edges of those nanowires were incrementally controlled. Therein, a single pixel at different positions along the nanowire edges was removed. The horizontal nanowires were rotated with different angles, i.e. +/-5o, +/-10o, +/-30o and +/-45o, to produce a certain level of the edge roughness. Some curved nanowires with different widths were also designed, simulated and patterned. Lorentz images of those curved nanowires were recorded. The asymmetric levels of such created walls were measured and correlated to our wall phase diagram. The obtained results showed that the edge defects created along either side of a nanowire strongly induces the asymmetric level of a transverse domain wall.


Author(s):  
Hossein Jabbari ◽  
Esmaeili Ali ◽  
Mohammad Hasan Djavareshkian

Since laminar separation bubbles are neutrally shaped on the suction side of full-span wings in low Reynolds number flows, a roughness element can be used to improve the performance of micro aerial vehicles. The purpose of this article was to investigate the leading-edge roughness element’s effect and its location on upstream of the laminar separation bubble from phase portrait point of view. Therefore, passive control might have an acoustic side effect, especially when the bubble might burst and increase noise. Consequently, the effect of the leading-edge roughness element features on the bubble’s behavior is considered on the acoustic pressure field and the vortices behind the NASA-LS0417 cross-section. The consequences express that the distribution of roughness in the appropriate dimensions and location could contribute to increasing the performance of the airfoil and the interaction of vortices produced by roughness elements with shear layers on the suction side has increased the sound frequency in the relevant sound pressure level (SPL). The results have demonstrated that vortex shedding frequency was increased in the presence of roughness compared to the smooth airfoil. Also, more complexity of the phase portrait circuits was found, retrieved from velocity gradient limitation. Likewise, the highest SPL is related to the state where the separation bubble phenomenon is on the surface versus placing roughness elements on the leading edge leads to a negative amount of SPL.


2021 ◽  
Vol 30 (5) ◽  
pp. 861-865
Author(s):  
SUN Shuang ◽  
LI Ming ◽  
ZHANG Baotong ◽  
LI Xiaokang ◽  
CAI Qifeng ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document