variable precision
Recently Published Documents


TOTAL DOCUMENTS

488
(FIVE YEARS 72)

H-INDEX

30
(FIVE YEARS 4)

2021 ◽  
Vol 2021 ◽  
pp. 1-18
Author(s):  
Mohammed Atef ◽  
José Carlos R. Alcantud ◽  
Hussain AlSalman ◽  
Abdu Gumaei

The notions of the fuzzy β -minimal and maximal descriptions were established by Yang et al. (Yang and Hu, 2016 and 2019). Recently, Zhang et al. (Zhang et al. 2019) presented the fuzzy covering via ℐ , T -fuzzy rough set model ( FC ℐ T FRS ), and Jiang et al. (Jiang et al., in 2019) introduced the covering through variable precision ℐ , T -fuzzy rough sets ( CVP ℐ T FRS ). To generalize these models in (Jiang et al., 2019 and Zhang et al. 2019), that is, to improve the lower approximation and reduce the upper approximation, the present paper constructs eight novel models of an FC ℐ T FRS based on fuzzy β -minimal (maximal) descriptions. Characterizations of these models are discussed. Further, eight types of CVP ℐ T FRS are introduced, and we investigate the related properties. Relationships among these models are also proposed. Finally, we illustrate the above study with a numerical example that also describes its practical application.


Electronics ◽  
2021 ◽  
Vol 10 (18) ◽  
pp. 2209
Author(s):  
Noureddine Ait Said ◽  
Mounir Benabdenbi ◽  
Katell Morin-Allory

Using standard Floating-Point (FP) formats for computation leads to significant hardware overhead since these formats are over-designed for error-resilient workloads such as iterative algorithms. Hence, hardware FP Unit (FPU) architectures need run-time variable precision capabilities. In this work, we propose a new method and an FPU architecture that enable designers to dynamically tune FP computations’ precision automatically at run-time called Variable Precision in Time (VPT), leading to significant power consumption, execution time, and energy savings. In spite of its circuit area overhead, the proposed approach simplifies the integration of variable precision in existing software workloads at any level of the software stack (OS, RTOS, or application-level): it only requires lightweight software support and solely relies on traditional assembly instructions, without the need for a specialized compiler or custom instructions. We apply the technique on the Jacobi and the Gauss–Seidel iterative methods taking full advantage of the suggested FPU. For each algorithm, two modified versions are proposed: a conservative version and a relaxed one. Both algorithms are analyzed and compared statistically to understand the effects of VPT on iterative applications. The implementations demonstrate up to 70.67% power consumption saving, up to 59.80% execution time saving, and up to 88.20% total energy saving w.r.t the reference double precision implementation, and with no accuracy loss.


2021 ◽  
Author(s):  
Noureddine Ait Said ◽  
Mounir Benabdenbi ◽  
Katell Morin-Allory

Sign in / Sign up

Export Citation Format

Share Document