A 340GHz Cross-coupled Waveguide Filter Based on MEMS Process

Author(s):  
Yan Jin ◽  
Houjun Sun ◽  
Yu Xiao ◽  
WenHao Tan ◽  
ZhiPeng Song
2016 ◽  
Vol 38 (3) ◽  
pp. 283-291 ◽  
Author(s):  
Jiangqiao Ding ◽  
Jie Hu ◽  
Dong Liu ◽  
Daowei Wang ◽  
Shengcai Shi ◽  
...  

2014 ◽  
Vol 2014 ◽  
pp. 1-5
Author(s):  
Ziqiang Xu ◽  
Gen Zhang ◽  
Hong Xia ◽  
Meijuan Xu

Hexagonal dual-mode cavity and its application to substrate integrated waveguide (SIW) filter are presented. The hexagonal SIW resonator which can combine flexibility of rectangular cavity and performance of circular cavity is convenient for dual-mode bandpass filters design. By introducing coupling between source and load, the filter not only has good selectivity due to two controllable transmission zeros, but also has a small size by the virtue of its single-cavity structure. A demonstration filter with a center frequency of 10 GHz and a 3 dB fractional bandwidth of 4% is designed and fabricated to validate the proposed structure. Measured results are in good agreement with simulated ones.


2002 ◽  
Vol 729 ◽  
Author(s):  
Roger T. Howe ◽  
Tsu-Jae King

AbstractThis paper describes recent research on LPCVD processes for the fabrication of high-quality micro-mechanical structures on foundry CMOS wafers. In order to avoid damaging CMOS electronics with either aluminum or copper metallization, the MEMS process temperatures should be limited to a maximum of 450°C. This constraint rules out the conventional polycrystalline silicon (poly-Si) as a candidate structural material for post-CMOS integrated MEMS. Polycrystalline silicon-germanium (poly-SiGe) alloys are attractive for modular integration of MEMS with electronics, because they can be deposited at much lower temperatures than poly-Si films, yet have excellent mechanical properties. In particular, in-situ doped p-type poly-SiGe films deposit rapidly at low temperatures and have adequate conductivity without post-deposition annealing. Poly-Ge can be etched very selectively to Si, SiGe, SiO2 and Si3N4 in a heated hydrogen peroxide solution, and can therefore be used as a sacrificial material to eliminate the need to protect the CMOS electronics during the MEMS-release etch. Low-resistance contact between a structural poly-SiGe layer and an underlying CMOS metal interconnect can be accomplished by deposition of the SiGe onto a typical barrier metal exposed in contact windows. We conclude with directions for further research to develop poly-SiGe technology for integrated inertial, optical, and RF MEMS applications.


Sign in / Sign up

Export Citation Format

Share Document