A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design

Author(s):  
Jody Maick Matos ◽  
Augusto Neutzling ◽  
Renato P. Ribas ◽  
André Reis
VLSI Design ◽  
1997 ◽  
Vol 5 (2) ◽  
pp. 111-124 ◽  
Author(s):  
Massoud Pedram ◽  
Narasimha Bhat ◽  
Ernest S. Kuh

Due to the significant contribution of interconnect to the area and speed of today's circuits and the technological trend toward smaller and faster gates which will make the effects of interconnect even more substantial, interconnect optimization must be performed during all phases of the design. The premise of this paper is that by increasing the interaction between logic synthesis and physical design, circuits with smaller area and interconnection length, and improved performance and routability can be obtained compared to when the two processes are done separately. In particular, this paper describes an integrated approach to technology mapping and physical design which finds solutions in both domains of design representation simultaneously and interactively. The two processes are performed in lockstep: technology mapping takes advantage of detailed information about the interconnect delays and the layout cost of various optimization alternatives; placement itself is guided by the evolving logic structure and accurate path-based delay traces. Using these techniques, circuits with smaller area and higher performance have been synthesized.


VLSI Design ◽  
2002 ◽  
Vol 15 (3) ◽  
pp. 557-562 ◽  
Author(s):  
Ichiang Lin ◽  
Chien-In Henry Chen

Many IC design houses failed to be market leaders because they miss the market window due to timing closure problems. Compared to half-micron designs, the amount of time spent on timing verification has greatly increased. Cell delays can be accurately estimated during logic synthesis. However, interconnect delays are unknown until the wire geometry is defined in physical design. Logic synthesis using the cell library models for interconnect delay estimates may be statistically accurate, but can not predict the delay of individual nets accurately. Delay estimates for individual nets (global nets, long wires, large fan-outs, buses), which matter most for the critical paths can be inaccurate and cause a design failure. Inaccurate timing verification causes silicon failure in shipped products that results in the loss of millions of dollars spent designing a high-performance product and potentially larger costs due to lost market share. Full-chip, sign-off verification with silicon-accuracy will allow these problems to be discovered and fixed before tape-out.


Author(s):  
Jon T. Kelley ◽  
Andrew Maicke ◽  
David A. Chamulak ◽  
Clifton C. Courtney ◽  
Ali E. Yilmaz
Keyword(s):  

2009 ◽  
Vol 20 (9) ◽  
pp. 2332-2343
Author(s):  
Zhi-Qiang LI ◽  
Wen-Qian LI ◽  
Han-Wu CHEN

Sign in / Sign up

Export Citation Format

Share Document