Fabrication of sub-micron high aspect ratio diamond structures with nanoimprint lithography

2010 ◽  
Vol 87 (11) ◽  
pp. 2077-2080 ◽  
Author(s):  
M. Karlsson ◽  
I. Vartianen ◽  
M. Kuittinen ◽  
F. Nikolajeff
ACS Photonics ◽  
2021 ◽  
Author(s):  
Vincent J. Einck ◽  
Mahsa Torfeh ◽  
Andrew McClung ◽  
Dae Eon Jung ◽  
Mahdad Mansouree ◽  
...  

2013 ◽  
Vol 104 ◽  
pp. 58-63 ◽  
Author(s):  
Rizwan Muhammad ◽  
Si-Hyeong Cho ◽  
Jung-Hwan Lee ◽  
Jin-Goo Park

2008 ◽  
Vol 2008 ◽  
pp. 1-4 ◽  
Author(s):  
K. O. Aung ◽  
C. Shankaran ◽  
R. Sbiaa ◽  
E. L. Tan ◽  
S. K. Wong ◽  
...  

Discrete track media (DTM) fabricated by nanoimprint lithography (NIL) is considered as a potential technology for future hard disk drives (HDD). In the fabrication of a master mold for NIL, patterning the resist tracks with a narrow distribution in the width is the first critical step. This paper reports the challenges involved in the fabrication of high aspect ratio discrete tracks on Polymethylmethacrylate (PMMA) resist by means of electron beam lithography. It was observed that fabrication parameters applied for successful patterning of discrete tracks in nanoscale length were not directly suitable for the patterning of discrete tracks in micron scale. Hence different approaches such as thick layer resist coating, introducing of post exposure baking process, and varying of exposure parameters were used in order to achieve uniform sharp discrete tracks in micron scale length on the resist. The optimal parameters were used to pattern 20 μm long tracks with 70 nm track pitch on the resist.


2001 ◽  
Author(s):  
Gary O’Brien ◽  
Xing Cheng ◽  
L. J. Guo

Abstract Sub-micron width high aspect ratio beam/trench arrays are etched into silicon substrates using a Surface Technology Systems (STS) deep reactive ion etch (RIE) tool equipped with a time multiplexed plasma etch/passivation cycle scheme. The oxide mask is patterned by nanoimprint lithography and minimizes lateral trench etching by adjusting the significant etch parameters. High aspect ratio trench arrays 350nm wide with a 700nm period are etched to a depth of 10 μm with typical sidewall asperities on the order of 30nm. A dual etch process is used to reduce scalloping near the trench surface using HBr/Cl to etch the initial 500nm followed by the STS process using C4F8/SF6 chemistry. The dual etch process resulted in a reduction of sidewall asperities from 75nm to less than 25nm. In addition, the dual etch process reduced the trench array depth variation from a measured standard deviation of 0.7 to 0.1 representing significant improvement of etch repeatability across the wafer sample.


2006 ◽  
Vol 83 (4-9) ◽  
pp. 869-872 ◽  
Author(s):  
Takaaki Konishi ◽  
Hisao Kikuta ◽  
Hiroaki Kawata ◽  
Yoshihiko Hirai

Nanomaterials ◽  
2021 ◽  
Vol 11 (2) ◽  
pp. 339
Author(s):  
Nithi Atthi ◽  
Marc Dielen ◽  
Witsaroot Sripumkhai ◽  
Pattaraluck Pattamang ◽  
Rattanawan Meananeatra ◽  
...  

Bio-inspired surfaces with superamphiphobic properties are well known as effective candidates for antifouling technology. However, the limitation of large-area mastering, patterning and pattern collapsing upon physical contact are the bottleneck for practical utilization in marine and medical applications. In this study, a roll-to-plate nanoimprint lithography (R2P NIL) process using Morphotonics’ automated Portis NIL600 tool was used to replicate high aspect ratio (5.0) micro-structures via reusable intermediate flexible stamps that were fabricated from silicon master molds. Two types of Morphotonics’ in-house UV-curable resins were used to replicate a micro-pillar (PIL) and circular rings with eight stripe supporters (C-RESS) micro-structure onto polycarbonate (PC) and polyethylene terephthalate (PET) foil substrates. The pattern quality and surface wettability was compared to a conventional polydimethylsiloxane (PDMS) soft lithography process. It was found that the heights of the R2P NIL replicated PIL and C-RESS patterns deviated less than 6% and 5% from the pattern design, respectively. Moreover, the surface wettability of the imprinted PIL and C-RESS patterns was found to be superhydro- and oleophobic and hydro- and oleophobic, respectively, with good robustness for the C-RESS micro-structure. Therefore, the R2P NIL process is expected to be a promising method to fabricate robust C-RESS micro-structures for large-scale anti-biofouling application.


Sign in / Sign up

Export Citation Format

Share Document