Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects

Author(s):  
J. Noguchi ◽  
T. Saito ◽  
N. Ohashi ◽  
H. Ashihara ◽  
H. Maruyama ◽  
...  
Keyword(s):  
2017 ◽  
Vol 110 (8) ◽  
pp. 083502 ◽  
Author(s):  
Hui Zheng ◽  
Binfeng Yin ◽  
Hewei Yu ◽  
Leigang Chen ◽  
Lin Gao ◽  
...  

2016 ◽  
Vol 5 (10) ◽  
pp. P578-P583 ◽  
Author(s):  
Naoki Torazawa ◽  
Susumu Matsumoto ◽  
Takeshi Harada ◽  
Yasunori Morinaga ◽  
Daisuke Inagaki ◽  
...  

Coatings ◽  
2020 ◽  
Vol 10 (2) ◽  
pp. 155
Author(s):  
Yi-Lung Cheng ◽  
Chih-Yen Lee ◽  
Wei-Fan Peng ◽  
Giin-Shan Chen ◽  
Jau-Shiung Fang

In this study, Cu-2.2 at. % Nd alloy films using a co-sputtering deposition method were directly deposited onto porous low-dielectric-constant (low-k) films (SiOCH). The effects of CuNd alloy film on the electrical properties and reliability of porous low-k dielectric films were studied. The electrical characteristics and reliability of the porous low-k dielectric film with CuNd alloy film were enhanced by annealing at 425 °C. The formation of self-forming barrier at the CuNd/SiOCH interface was responsible for this improvement. Therefore, integration with CuNd and porous low-k dielectric is a promising process for advanced Cu interconnects.


2009 ◽  
Author(s):  
I. Kume ◽  
N. Inoue ◽  
S. Saito ◽  
N. Furutake ◽  
J. Kawahara ◽  
...  
Keyword(s):  
Down Low ◽  

2010 ◽  
Author(s):  
I. Kume ◽  
M. Ueki ◽  
N. Inoue ◽  
J. Kawahara ◽  
N. Ikarashi ◽  
...  

2009 ◽  
Vol 56 (9) ◽  
pp. 1852-1861 ◽  
Author(s):  
Munehiro Tada ◽  
Naoya Inoue ◽  
Yoshihiro Hayashi

Sign in / Sign up

Export Citation Format

Share Document