hard mask
Recently Published Documents


TOTAL DOCUMENTS

276
(FIVE YEARS 31)

H-INDEX

16
(FIVE YEARS 3)

2021 ◽  
pp. 100102
Author(s):  
Martin Drost ◽  
Steffen Marschmeyer ◽  
Mirko Fraschke ◽  
Oksana Fursenko ◽  
Florian Bärwolf ◽  
...  
Keyword(s):  

Author(s):  
Habib Ahmad ◽  
Zachary Engel ◽  
Muneeb Zia ◽  
Alex S. Weidenbach ◽  
Christopher M Matthews ◽  
...  
Keyword(s):  

Electronics ◽  
2021 ◽  
Vol 10 (12) ◽  
pp. 1374
Author(s):  
Zheng Jiang ◽  
Hao Zhu ◽  
Qingqing Sun

Amorphous carbon hard mask (ACHM) films are widely used as etching hard masks in 3D-NAND flash memory, which has put forward higher requirements in the film deposition rate, film transparency, uniformity, and selective etching. In this work, the ACHM film processing is engineered and optimized by comparatively studying acetylene (C2H2) and propylene (C3H6) as carbon sources at the different temperatures of 300 °C, 350 °C and 400 °C. By increasing the deposition temperature, the deposition rate, non-uniformity, and dry etch rate of ACHM are improved at the penalty of a slightly increased extinction coefficient of the film, due to lower incorporation of hydrocarbon reactants absorbed into film at higher temperatures. However, the Fourier transformation infrared (FTIR) spectrum intensity is decreased with the increase of the deposition temperature. The lower dry etch rate of ACHM is achieved by using C3H6 as a carbon source deposited at 400 °C. The best dry etch selective ratio values are also achieved with 10.9 and 9.5 for SiO2 and SiN, respectively. These experimental results can be very promising in the advancement of etching process in 3D-NAND applications.


ACS Nano ◽  
2021 ◽  
Author(s):  
Tandra Ghoshal ◽  
Ramsankar Senthamaraikannan ◽  
Matthew T. Shaw ◽  
Ross Lundy ◽  
Andrew Selkirk ◽  
...  

2021 ◽  
Vol 21 (3) ◽  
pp. 2032-2038
Author(s):  
Kwang Pyo Kim ◽  
Wan Soo Song ◽  
Min Kyu Park ◽  
Sang Jeen Hong

When the aspect ratio of a high aspect ratio (HAR) etching process is greatly increased, an amorphous carbon layer (ACL) hard mask is required for dynamic random-access memory (DRAM). To improve the durability of an etch hard mask, an understanding of the plasma deposition mechanisms and the deposited film properties associated with the plasma conditions and atomic structure, respectively, is required. We performed a series of plasma depositions, material characterizations and dry-etching to investigate the effect of the deposition process condition on the surface characteristics of an ACL film to be used as a dry etch hard mask in an HAR etch process. We found that a lower chamber pressure at a higher temperature for the plasma deposition process yielded higher film hardness, and this infers that higher plasma ion energy in lower pressure regions helps to remove hydrogen atoms from the surface by increased ion bombardment. It was postulated that a higher substrate temperature gears the bake-out of hydrogen or hydroxide contaminants. From the results of inductively coupled plasma-reactive ion etching of the deposited ACL film, we observed that the etch selectivity over the silicon dioxide film was improved as C═C sp2 and C–C sp3 bonds increased.


Author(s):  
Tao Li ◽  
Stefan Schmitz ◽  
Phil Friddle ◽  
Samantha Tan ◽  
Wenbing Yang ◽  
...  

2021 ◽  
Vol 314 ◽  
pp. 127-132
Author(s):  
Shota Iwahata ◽  
Masaki Inaba ◽  
Farid Sebaai ◽  
Efrain Altamirano Sánchez

Thermally activated ozone gas (TAO) was demonstrated as an alternative technology to conventional spin-on carbon (SOC) stripping. The SOC stripping rate with ozone gas was found to be a function of substrate temperature and actual ozone amount calculated from the ozone flow rate and concentration. Furthermore, work function metal (WFM) stripping rate showed a high selectivity to SOC films, and the amount of oxidation TiN, which is a WFM metal, was also equivalent to conventional technology of SOC stripping. This TAO gas process can be used in clean tools, making it a promising integrated solution for SOC stripping followed by post clean.


2021 ◽  
pp. 1-1
Author(s):  
Jian-Jie Chen ◽  
Ting-Chang Chang ◽  
Yang-Hao Hung ◽  
Yu-Zhe Zheng ◽  
Chuan-Wei Kuo ◽  
...  

2021 ◽  
Vol 20 ◽  
pp. 33-38
Author(s):  
Huseyin Ekinci ◽  
Navid M.S. Jahed ◽  
Mohammad Soltani ◽  
Bo Cui

2020 ◽  
Vol 1697 ◽  
pp. 012188
Author(s):  
E A Vyacheslavova ◽  
I A Morozov ◽  
D A Kudryashov ◽  
A S Gudovskikh

Sign in / Sign up

Export Citation Format

Share Document