Experimental Investigation on Chemical-Mechanical Polishing of Wafers With Low-Conductivity Dielectrics

Author(s):  
Jhy-Cherng Tsai ◽  
Charls Liu ◽  
Ming-Hsih Tsai ◽  
Bao-Tong Dai

Abstract Low conductivity (low-k) dielectric material is used in the sandwich structure of next-generation semiconductor devices in order to reduce the RC time delay. While global flatness of wafer surface becomes critical for deep sub-micro semiconductor fabrication process, chemical-mechanical polishing (CMP) becomes one of the key technologies for planarization of wafer surface. This paper investigated the effect of the low-k material on the CMP of the SiO2 cap layer of such a sandwiched wafer. Two types of wafers, blanket wafer and wafer with circuit pattern, are designed and conducted to investigate the effects of the thickness of the low-k layer under different polishing pressures and velocities. Material removal rate (RR) and non-uniformity (NU) are used as indices of the CMP process performance. The results show that the RR and NU of wafers with low-k layer, either blanket or with circuit pattern, become better when the pressure or velocity increases. The thickness of the low-k layer, however, has only tiny effect on the RR and NU.

Author(s):  
Dinc¸er Bozkaya ◽  
Sinan Mu¨ftu¨

The necessity to planarize ultra low-k (ULK) dielectrics [1], and the desire to reduce polishing defects leads to use of lower polishing pressures in chemical mechanical polishing (CMP). However, lowering the applied pressure also decreases the material removal rate (MRR), which causes the polishing time for each wafer to increase. The goal of this work is to investigate effects of pad porosity and abrasive concentration on the MRR.


2005 ◽  
Vol 127 (1) ◽  
pp. 190-197 ◽  
Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


Author(s):  
Yeau-Ren Jeng ◽  
Pay-Yau Huang

Chemical Mechanical Polishing (CMP) is a highly effective technique for planarizing wafer surfaces. Consequently, considerable research has been conducted into its associated material removal mechanisms. The present study proposes a CMP material removal rate model based upon a micro-contact model which considers the effects of the abrasive particles located between the polishing interfaces, thereby the down force applied on the wafer is carried both by the deformation of the polishing pad asperities and by the penetration of the abrasive particles. It is shown that the current theoretical results are in good agreement with the experimental data published previously. In addition to such operational parameters as the applied down force, the present study also considers consumable parameters rarely investigated by previous models based on the Preston equation, including wafer surface hardness, slurry particle size, and slurry concentration. This study also provides physical insights into the interfacial phenomena not discussed by previous models, which ignored the effects of abrasive particles between the polishing interfaces during force balancing.


Author(s):  
Dinc¸er Bozkaya ◽  
Sinan Mu¨ftu¨

Chemical mechanical polishing (CMP) of ultra-low-k (ULK) dielectic materials is challenging, as they are susceptible to fracture under typical CMP pressures [1]. Low-pressure (lp) CMP is one of the solutions for polishing ULK dielectrics [1]. In order to implement lp-CMP the process should be optimized to maximize the material removal rate (MRR).


1997 ◽  
Vol 476 ◽  
Author(s):  
Jianshe Tang ◽  
Carsten Unger ◽  
Yongsik Moon ◽  
David Dornfeld

AbstractLow-k dielectric material removal rate, which is significantly affected by process factors such as polishing load, wafer carrier rotation, platen rotation speed and pad age, is one of the critical issues in CMP planarization of a dielectric film when concerning productivity, throughputs and stabilization of the process, especially when trying to achieve a target polishing thickness. Scratching is another critical issue in low-k dielectric filmi CMP planarization due to the lower hardness relative to silicon dioxide. This research relates to a methodology for in-situ monitoring of the low-k dielectric material CMP planarization process, specifically for monitoring material removal rate and scratch occurrence, using acoustic emission (AE) sensing technology.Systematic investigations of CMP process variables on AE signals were carried out in this research. The sensitivity of AE to polishing load, polishing speed, wafer surface roughness (wafer pattern density) and pad roughness were verified. The results showed that, under steady state, the AE rms signal increases with increasing polishing load, polishing speed, slurry particle size, wafer surface roughness and pad roughness.Based on the research in tribology and other application fields of loose abrasive machining such as lapping and polishing, scratching was known to be caused by the presence of particles which are much larger than average slurry particles. It has been proven that scratching can be avoided or reduced by timely cleaning the slurry supply system. Therefore, to avoid scratching, one strategy is to develop an in-situ method for detecting larger particles involved in CMP process. In this paper, the high sensitivity of AE signals to the presence of larger particles during CMP was experimentally verified.


2010 ◽  
Vol 160-162 ◽  
pp. 1518-1523
Author(s):  
Shi Wen Du ◽  
Yong Tang Li ◽  
Jian Jun Song ◽  
Hui Ping Qi

A contact-mechanics-based finite model for Cu/ low-k chemical mechanical polishing is presented. 2D axisymmetric quasi-static model for chemical mechanical polishing which includes four-layer structure: Si, low-k, Copper and polishing pad is established. The mechanical response at the interface between the silicon, low-k, copper, and pad is simulated under the loading of the chemical mechanical polishing. The effect of slurry is simplified as the friction force reacted onto both the copper and the polishing pad in the finite element model. Down pressure, status of slurry and the elastic modulus of polishing pad are treated as the parameter in the simulation. Using the model, the effects of applied down pressure, pad properties, status of slurry on the non-uniformity of the wafer surface can be readily evaluated. Simulation results show that the distribution of the Von Mises stresses across a wafer’s surface correlates with experimental removal rate profiles.


2004 ◽  
Vol 471-472 ◽  
pp. 26-31 ◽  
Author(s):  
Jian Xiu Su ◽  
Dong Ming Guo ◽  
Ren Ke Kang ◽  
Zhu Ji Jin ◽  
X.J. Li ◽  
...  

Chemical mechanical polishing (CMP) has already become a mainstream technology in global planarization of wafer, but the mechanism of nonuniform material removal has not been revealed. In this paper, the calculation of particle movement tracks on wafer surface was conducted by the motion relationship between the wafer and the polishing pad on a large-sized single head CMP machine. Based on the distribution of particle tracks on wafer surface, the model for the within-wafer-nonuniformity (WIWNU) of material removal was put forward. By the calculation and analysis, the relationship between the motion variables of the CMP machine and the WIWNU of material removal on wafer surface had been derived. This model can be used not only for predicting the WIWNU, but also for providing theoretical guide to the design of CMP equipment, selecting the motion variables of CMP and further understanding the material removal mechanism in wafer CMP.


2008 ◽  
Vol 600-603 ◽  
pp. 831-834 ◽  
Author(s):  
Joon Ho An ◽  
Gi Sub Lee ◽  
Won Jae Lee ◽  
Byoung Chul Shin ◽  
Jung Doo Seo ◽  
...  

2inch 6H-SiC (0001) wafers were sliced from the ingot grown by a conventional physical vapor transport (PVT) method using an abrasive multi-wire saw. While sliced SiC wafers lapped by a slurry with 1~9㎛ diamond particles had a mean height (Ra) value of 40nm, wafers after the final mechanical polishing using the slurry of 0.1㎛ diamond particles exhibited Ra of 4Å. In this study, we focused on investigation into the effect of the slurry type of chemical mechanical polishing (CMP) on the material removal rate of SiC materials and the change in surface roughness by adding abrasives and oxidizer to conventional KOH-based colloidal silica slurry. The nano-sized diamond slurry (average grain size of 25nm) added in KOH-based colloidal silica slurry resulted in a material removal rate (MRR) of 0.07mg/hr and the Ra of 1.811Å. The addition of oxidizer (NaOCl) in the nano-size diamond and KOH based colloidal silica slurry was proven to improve the CMP characteristics for SiC wafer, having a MRR of 0.3mg/hr and Ra of 1.087Å.


2005 ◽  
Vol 867 ◽  
Author(s):  
Suresh B. Yeruva ◽  
Chang-Won Park ◽  
Brij M. Moudgil

AbstractChemical mechanical polishing (CMP) is widely used for local and global planarization of microelectronic devices. It has been demonstrated experimentally in the literature that the polishing performance is a result of the synergistic effect of both the chemicals and the particles involved in CMP. However, the fundamental mechanisms of material removal and the interactions of the chemical and mechanical effects are not well understood. A comprehensive model for CMP was developed taking into account both the chemical and mechanical effects for monodisperse slurries. The chemical aspect is attributed to the chemical modification of the surface layer due to slurry chemistry, whereas the mechanical aspect is introduced by indentation of particles into the modified layer and the substrate depending on the operating conditions. In this study, the model is extended to include the particle size and pad asperity distribution effects. The refined model not only predicts the overall removal rate but also the surface roughness of the polished wafer, which is an important factor in CMP. The predictions of the model show reasonable agreement with the experimental observations.


Sign in / Sign up

Export Citation Format

Share Document