Modeling of Polishing Regimes in Chemical Mechanical Polishing

2005 ◽  
Vol 867 ◽  
Author(s):  
Suresh B. Yeruva ◽  
Chang-Won Park ◽  
Brij M. Moudgil

AbstractChemical mechanical polishing (CMP) is widely used for local and global planarization of microelectronic devices. It has been demonstrated experimentally in the literature that the polishing performance is a result of the synergistic effect of both the chemicals and the particles involved in CMP. However, the fundamental mechanisms of material removal and the interactions of the chemical and mechanical effects are not well understood. A comprehensive model for CMP was developed taking into account both the chemical and mechanical effects for monodisperse slurries. The chemical aspect is attributed to the chemical modification of the surface layer due to slurry chemistry, whereas the mechanical aspect is introduced by indentation of particles into the modified layer and the substrate depending on the operating conditions. In this study, the model is extended to include the particle size and pad asperity distribution effects. The refined model not only predicts the overall removal rate but also the surface roughness of the polished wafer, which is an important factor in CMP. The predictions of the model show reasonable agreement with the experimental observations.

2008 ◽  
Vol 600-603 ◽  
pp. 831-834 ◽  
Author(s):  
Joon Ho An ◽  
Gi Sub Lee ◽  
Won Jae Lee ◽  
Byoung Chul Shin ◽  
Jung Doo Seo ◽  
...  

2inch 6H-SiC (0001) wafers were sliced from the ingot grown by a conventional physical vapor transport (PVT) method using an abrasive multi-wire saw. While sliced SiC wafers lapped by a slurry with 1~9㎛ diamond particles had a mean height (Ra) value of 40nm, wafers after the final mechanical polishing using the slurry of 0.1㎛ diamond particles exhibited Ra of 4Å. In this study, we focused on investigation into the effect of the slurry type of chemical mechanical polishing (CMP) on the material removal rate of SiC materials and the change in surface roughness by adding abrasives and oxidizer to conventional KOH-based colloidal silica slurry. The nano-sized diamond slurry (average grain size of 25nm) added in KOH-based colloidal silica slurry resulted in a material removal rate (MRR) of 0.07mg/hr and the Ra of 1.811Å. The addition of oxidizer (NaOCl) in the nano-size diamond and KOH based colloidal silica slurry was proven to improve the CMP characteristics for SiC wafer, having a MRR of 0.3mg/hr and Ra of 1.087Å.


Author(s):  
Dinc¸er Bozkaya ◽  
Sinan Mu¨ftu¨

The necessity to planarize ultra low-k (ULK) dielectrics [1], and the desire to reduce polishing defects leads to use of lower polishing pressures in chemical mechanical polishing (CMP). However, lowering the applied pressure also decreases the material removal rate (MRR), which causes the polishing time for each wafer to increase. The goal of this work is to investigate effects of pad porosity and abrasive concentration on the MRR.


2018 ◽  
Author(s):  
Zewei Yuan ◽  
Kai Cheng ◽  
Yan He ◽  
Meng Zhang

The high quality surface can exhibit the irreplaceable application of single crystal silicon carbide in the fields of optoelectronic devices, integrated circuits and semiconductor. However, high hardness and remarkable chemical inertness lead to great difficulty to the smoothing process of silicon carbide. Therefore, the research presented in this paper attempts to smooth silicon carbide wafer with photocatalysis assisted chemical mechanical polishing (PCMP) by using of the powerful oxidability of UV photo-excited hydroxyl radical on surface of nano-TiO2 particles. Mechanical lapping was using for rough polishing, and a material removal model was proposed for mechanical lapping to optimize the polishing process. Several photocatalysis assisted chemical mechanical polishing slurries were compared to achieve fine surface. The theoretical analysis and experimental results indicate that the material removal rate of lapping process decreases in index form with the decreasing of abrasive size, which corresponds with the model developed. After processed with mechanical lapping for 1.5 hours and subsequent photocatalysis assisted chemical mechanical polishing for 2 hours, the silicon carbide wafer obtains a high quality surface with the surface roughness at Ra 0.528 nm The material removal rate is 0.96 μm/h in fine polishing process, which is significantly influenced by factors such as ultraviolet irradiation, electron capture agent (H2O2) and acidic environment. This combined method can effectively reduce the surface roughness and improve the polishing efficiency on silicon carbide and other hard-inert materials.


2020 ◽  
Vol 10 (22) ◽  
pp. 8065
Author(s):  
Linlin Cao ◽  
Xiang Zhang ◽  
Julong Yuan ◽  
Luguang Guo ◽  
Teng Hong ◽  
...  

Sapphire has been the most widely used substrate material in LEDs, and the demand for non-C-planes crystal is increasing. In this paper, four crystal planes of the A-, C-, M- and R-plane were selected as the research objects. Nanoindentation technology and chemical mechanical polishing technology were used to study the effect of anisotropy on material properties and processing results. The consequence showed that the C-plane was the easiest crystal plane to process with the material removal rate of 5.93 nm/min, while the R-plane was the most difficult with the material removal rate of 2.47 nm/min. Moreover, the research results have great guiding significance for the processing of sapphire with different crystal orientations.


2014 ◽  
Vol 538 ◽  
pp. 40-43
Author(s):  
Hong Wei Du ◽  
Yan Ni Chen

In this paper, material removal mechanism of monocrystalline silicon by chemical etching with different solutions were studied to find effective oxidant and stabilizer. Material removal mechanism by mechanical loads was analyzed based on the measured acoustic signals in the scratching processes and the observation on the scratched surfaces of silicon wafers. The chemical mechanical polishing (CMP) processes of monocrystalline silicon wafers were analyzed in detail according to the observation and measurement of the polished surfaces with XRD. The results show that H2O2 is effective oxidant and KOH stabilizer. In a certain range, the higher concentration of oxidant, the higher material removal rate; the higher the polishing liquid PH value, the higher material removal rate. The polishing pressure is an important factor to obtain ultra-smooth surface without damage. Experimental results obtained silicon polishing pressure shall not exceed 42.5kPa.


2014 ◽  
Vol 1027 ◽  
pp. 213-216
Author(s):  
Su Fang Fu ◽  
Jian Guo Yao ◽  
Li Jie Ma ◽  
Jian Xiu Su

Chemical mechanical polishing (CMP) had been considered as the most practical and effective method of achieving an ultra-smooth and non-damage surface in manufacturing SiC crystal substrate. CMP slurry was one of the key factors of CMP technology. In this paper, through investigating the changes of several core factors to evaluate the performance of CMP, such as the material removal rate (MRR), surface roughness Ra, 3D surface profiler, etc., the influence of various slurry and its content on the polishing efficiency and surface finish quality had been studied. The research results showed that different oxidant had different chemical action mechanism, also affecting the stability of CMP slurry and surface quality of specimen; adding suitable an oxidant to slurry could effectively improve the CMP performance.


1994 ◽  
Vol 337 ◽  
Author(s):  
Rajeev Bajaj ◽  
Mukesh Desai ◽  
Rahul Jairath ◽  
Matthew Stell ◽  
Robert Tolles

ABSTRACTChemical mechanical polishing (CMP) technology has successfully met the stringent requirements of ultraplanarized surfaces in semiconductor manufacture. Commonly, polyurethane based pads have been used to achieve this level of planarization. Recent studies have shown that the material properties of polishing pads used in the CMP process strongly influence the ability to reduce topography. In addition, past work has shown that in the absence of pad regeneration, polishing rate drops dramatically with polishing time. This decrease in material removal rate is believed to coincide with deterioration of the pad surface due to “cold flow” and/or “caking” of the pad material. This study attempts to correlate the intrinsic polymer properties and cellular structure of the pad material to CMP process indices like polishing rate and planarity. For example, the drop off in removal rate as a function of time can be attributed to the mechanical response of polyurethanes under conditions of critical shear. Moreover, planarity achieved is a function of pad stiffness - which itself is dependant upon intrinsic polymer stiffness and cell density.


Sign in / Sign up

Export Citation Format

Share Document