Low Dielectric Constant 3MS α-SiC:H as Cu Diffusion Barrier Layer in Cu Dual Damascene Process

2001 ◽  
Vol 40 (Part 1, No. 4B) ◽  
pp. 2663-2668 ◽  
Author(s):  
Soo Geun Lee ◽  
Yun Jun Kim ◽  
Seung Pae Lee ◽  
Hyeok-Sang Oh ◽  
Seung Jae Lee ◽  
...  
2000 ◽  
Author(s):  
Soo Gun Lee ◽  
Hyeok-Sang Oh ◽  
Hong-Jae Shin ◽  
Jin-Gi Hong ◽  
Hyeon-Deok Lee ◽  
...  

1999 ◽  
Vol 565 ◽  
Author(s):  
Bin Zhao ◽  
Maureen Brongo

AbstractAdvanced on-chip interconnects using new materials and new integration architectures are necessary for current and future IC chips in order to meet the requirements in performance, reliability and manufacturing cost. Insulating materials with low dielectric constant (low-κ) and conductive materials with low-resistivity have drawn significant attention for their possible applications in IC interconnects. Dual damascene interconnect integration architectures not only offer process simplification and low cost, but also enable the use of low-resistive Cu for interconnect wiring. Use of low-κ materials in dual damascene architecture is challenging due to material and processing issues. In this paper, the evolution of advanced interconnects, materials and technology options, and some recent achievements in advanced interconnect systems of low-κ dielectric and dual damascene architectures for both Al and Cu metallization are reviewed and discussed.


1996 ◽  
Vol 427 ◽  
Author(s):  
R. Tacito ◽  
C. Steinbrüchel

AbstractParylene-n (pa-n) and benzocyclobutene (BCB) are novel candidate materials for interlevel dielectrics in future multilevel interconnects, due to their dielectric constant being much lower than that of silicon dioxide. We describe the fine line patterning of these materials by reactive ion etching in O2/CF4 plasmas. Examples of high aspect ratio trenches and dual damascene structures are presented involving processes with single and double hardmasks.


1999 ◽  
Vol 564 ◽  
Author(s):  
Bin Zhao ◽  
Maureen Brongo

AbstractAdvanced on-chip interconnects using new materials and new integration architectures are necessary for current and future IC chips in order to meet the requirements in performance, reliability and manufacturing cost. Insulating materials with low dielectric constant (low-κ) and conductive materials with low-resistivity have drawn significant attention for their possible applications in IC interconnects. Dual damascene interconnect integration architectures not only offer process simplification and low cost, but also enable the use of low-resistive Cu for interconnect wiring. Use of low-κ materials in dual damascene architecture is challenging due to material and processing issues. In this paper, the evolution of advanced interconnects, materials and technology options, and some recent achievements in advanced interconnect systems of low-κ dielectric and dual damascene architectures for both Al and Cu metallization are reviewed and discussed.


Sign in / Sign up

Export Citation Format

Share Document