GeSn surface preparation by wet cleaning and in-situ plasma treatments prior to metallization

2019 ◽  
Vol 203-204 ◽  
pp. 38-43
Author(s):  
P.E. Raynal ◽  
A. Quintero ◽  
V. Loup ◽  
Ph. Rodriguez ◽  
L. Vallier ◽  
...  
MRS Bulletin ◽  
1996 ◽  
Vol 21 (8) ◽  
pp. 43-45 ◽  
Author(s):  
Don M. Coates ◽  
Stephen L. Kaplan

As adaptable as polymeric materials are in their many applications to our daily lives, the need exists to tailor the polymer surfaces to provide even more flexibility in regard to their uses. Plasma treatments offer an unprecedented spectrum of possible surface modifications to enhance polymers, ranging from simple topographical changes to creation of surface chemistries and coatings that are radically different from the bulk polymer. Furthermore plasma treatments are environmentally friendly and economical in regard to their use of materials.Plasma processing can be classified into at least four categories that often overlap. These are the following: (1) surface preparation by breakdown of surface oils and loose contaminates, (2) etching of new topographies, (3) surface activation by creation or grafting of new functional groups or chemically reactive, excited metastable species on the surface, and (4) deposition of monolithic, adherent surface coatings by polymerization of monomeric species on the surface. Key features of these processes will be briefly discussed, with a rudimentary introduction to the chemistries involved, as well as examples. Focus is placed on capacitively coupled radio-frequency (rf) plasmas (see Figure 1 in the article by Lieberman et al. in this issue of MRS Bulletin) since they are most commonly used in polymer treatment.


1993 ◽  
Vol 72 (1) ◽  
pp. 19-29 ◽  
Author(s):  
M. Collaud ◽  
S. Nowak ◽  
O.M. Küttel ◽  
P. Gröning ◽  
L. Schlapbach

2012 ◽  
Vol 557-559 ◽  
pp. 1815-1818 ◽  
Author(s):  
Ting Ting Jia ◽  
Xing Hong Cheng ◽  
Duo Cao ◽  
Da Wei Xu ◽  
You Wei Zhang ◽  
...  

In this work, La2O3 gate dielectric film was deposited by plasma enhanced atomic layer deposition. we investigate the effect of surface preparation of GaAs substrate, for example, native oxide, S-passivation, and NH3 plasma in situ treatment. The interfacial reaction mechanisms of La2O3 on GaAs is studied by means of X-ray photoelectron spectroscopy(XPS), high-resolution transmission electron microscopy(HRTEM) and atomic force microscope (AFM). As-O bonding is found to get effectively suppressed in the sample GaAs structures with both S-passivation and NH3 plasma surface treatments.


2003 ◽  
Vol 786 ◽  
Author(s):  
B.P. Gila ◽  
B. Luo ◽  
J. Kim ◽  
R. Mehandru ◽  
J.R. LaRoche ◽  
...  

ABSTRACTThe study of the effects of substrate surface preparation of GaN, both in-situ and ex-situ and the subsequent deposition of dielectric materials is necessary to create a viable GaN FET technology. Surface preparation techniques have been explored using RHEED, AES, SIMS and C-V measurements to produce films of low interface trap density, 1–2E11 eV−1cm−2. A similar study of the as-fabricated HEMT surface was carried out to create a cleaning procedure prior to dielectric passivation. Dielectric films of Sc2O3 and MgO were deposited via gas-source MBE. Post-deposition materials characterization included AES, TEM, XRR and XPS, as well as gate pulse and isolation current measurements for the passivated HEMT devices. From this study, the relationship between the interface structure and chemistry and the quality of the oxide/nitride electrical interface has been determined. The resulting process has led to the near elimination of the current collapse phenomenon. In addition, the resulting oxide/nitride interface quality has allowed for the first demonstration of inversion in GaN.


2017 ◽  
Vol 464 ◽  
pp. 14-19 ◽  
Author(s):  
Agnieszka Paszuk ◽  
Anja Dobrich ◽  
Christian Koppka ◽  
Sebastian Brückner ◽  
Marek Duda ◽  
...  

2012 ◽  
Vol 455-456 ◽  
pp. 765-774
Author(s):  
Wei Bo Huang ◽  
Xu Dong Liu ◽  
Zhang Jing ◽  
Ping Lu

. The comprehensive performance of three kinds of surface preparation systems of polyurea coatings for Beijing-Shanghai High-Speed Railway bridge concrete beams’ protection both in situ and in lab were studied in this paper. The pull-off test and visual inspection were used to determine the adhesion and coverage effect of the bug hole of shot blasted concrete surface. Adhesion tests were conducted both in situ and in lab over a period of 1 year. Five failure models were identified based on the failure mechanisms observed during the tests. It showed that, the adhesion strength of Qtech-112 system increased initially (from 2.7 to 4.8 MPa) and then tended to be stable (about 4.5MPa) both in lab and in situ, E1 and E2 system increased initially (from 1.2 to 1.9 MPa) then decreased to 1.3 MPa under low temperature. The tack free time of Qtech-112 was about 3 hours less than E1 and E2 system. Accordingly, the adhesion and tack-free time of epoxy based system (E1, E2) was very sensitive to application temperature and humidity in jobsite. Polyurethane based system (Qtech-112) have a good adhesion and surface coverage effect to concrete surfaces than epoxy based system under the same ambient condition, it showed an excellent comprehensive performance than epoxy one (E1, E2) both in adhesion and in elimination of pinhole for upcoming polyurea application.


1987 ◽  
Vol 91 ◽  
Author(s):  
J.-M. Baribeau ◽  
D.C. Houghton ◽  
P. MaignÉ ◽  
W.T. Moore ◽  
R.L.S. Devine ◽  
...  

ABSTRACTA UHV MBE apparatus in which the deposition of both group IV and group III-V components is possible without breaking vacuum has been utilized to compare the growth of GaAs epilayers on non-polar Si(100) and Ge coated Si(100) substrates. In addition, a comparison of GaAs epilayers grown on substrates cleaned by ex-situ techniques and on substrates given all UHV in-situ surface preparation was made. Defect reduction by the incorporation of strained-layer superlattice dislocation filters and by post-growth rapid thermal anneal (RTA) thermal cycles was also investigated. Optical and material properties comparable to MBE grown GaAs/GaAs were obtained for GaAs grown on Ge coated Si(100) substrates.


1994 ◽  
Vol 116 (4) ◽  
pp. 463-466 ◽  
Author(s):  
Q. Pang ◽  
T. Pang ◽  
J. C. McClure ◽  
A. C. Nunes

Variable Polarity Plasma Arc welding has proved to be extremely successful in welding aluminum alloys despite their adherent refractory oxide. This success has been attributed to removal of the oxide during the reverse polarity cycle. In situ optical spectroscopy is used to measure the amount of hydrogen and oxygen in the plasma arc with a minimum detectable limit of less than 100 ppm. It was found that the amount of contamination is independent of surface preparation and torch speed. Using this information, it is proposed that the predominant mechanism for reverse polarity cleaning in aluminum is dielectric breakdown of the surface oxide ahead of the torch rather than by ion sputtering.


Shinku ◽  
1996 ◽  
Vol 39 (11) ◽  
pp. 546-553
Author(s):  
Yuichi KAWAMURA ◽  
Tutomu ARAKI ◽  
Keizou MORIMOTO ◽  
Naohisa INOUE ◽  
Yoshikazu HOMMA ◽  
...  

2019 ◽  
Vol 92 (2) ◽  
pp. 73-83 ◽  
Author(s):  
Nicolas Coudurier ◽  
Flore Boyer ◽  
Bernard Pelissier ◽  
Laura Toseli ◽  
Christophe Licitra ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document