EUV lithography insertion for high volume manufacturing: Status and outlook

Author(s):  
Alek Chen ◽  
Junji Miyazaki
Keyword(s):  
2015 ◽  
Author(s):  
Mark Neisser ◽  
Kevin Cummings ◽  
Sean Valente ◽  
Cecilia Montgomery ◽  
Yu-Jen Fan ◽  
...  
Keyword(s):  

nano Online ◽  
2018 ◽  
Author(s):  
Igor Fomenkov ◽  
David Brandt ◽  
Alex Ershov ◽  
Alexander Schafgans ◽  
Yezheng Tao ◽  
...  

2015 ◽  
Vol 4 (4) ◽  
Author(s):  
Mark Neisser ◽  
Stefan Wurm

AbstractIn the past few years, novel methods of patterning have made considerable progress. In 2011, extreme ultraviolet (EUV) lithography was the front runner to succeed optical lithography. However, although EUV tools for pilot production capability have been installed, its high volume manufacturing (HVM) readiness continues to be gated by productivity and availability improvements taking longer than expected. In the same time frame, alternative and/or complementary technologies to EUV have made progress. Directed self-assembly (DSA) has demonstrated improved defectivity and progress in integration with design and pattern process flows. Nanoimprint improved performance considerably and is pilot production capable for memory products. Maskless lithography has made progress in tool development and could have an α tool ready in the late 2015 or early 2016. But they all have to compete with multiple patterning. Quadruple patterning is already demonstrated and can pattern lines and spaces down to close to 10-nm half pitch. The other techniques have to do something better than quadruple patterning does to be chosen for implementation. DSA and NIL promise a lower cost. EUV promises a simpler and shorter process and the creation of 2-D patterns more easily with much reduced complexity compared to multiple patterning. Maskless lithography promises to make chip personalization easy and to be particularly cost effective for low-volume chip designs. Decision dates for all of the technologies are this year or next year.


2008 ◽  
Author(s):  
Akira Endo ◽  
Hideo Hoshino ◽  
Takashi Suganuma ◽  
Krzysztof Nowak ◽  
Tatsuya Yanagida ◽  
...  

Author(s):  
Harry Jay Levinson

Abstract High-NA extreme ultraviolet (EUV) lithography is currently in development. Fabrication of exposure tools and optics with a numerical aperture (NA) equal to 0.55 has started at ASML and Carl Zeiss. Lenses with such high NA will have very small depths-of-focus, which will require improved focus systems and significant improvements in wafer flatness during processing. Lenses are anamorphic to address mask 3D issues, which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners. Production of large die will require stitching. Computational infrastructure is being created to support high-NA lithography, including simulators that use Tatian polynomials to characterize the aberrations of lenses with central obscurations. High resolution resists that meet the line-edge roughness (LER) and defect requirements for high-volume manufacturing (HVM) also need to be developed. High power light sources will also be needed to limit photon shot noise.


2017 ◽  
Vol 6 (3-4) ◽  
Author(s):  
Rik Jonckheere

AbstractThis article covers the various aspects of defectivity of a typical mask used for extreme ultra-violet (EUV) lithography. The focus of the present article is on those aspects that are more specific for EUV lithography. A prime type of defect that fully falls under the really EUV-specific category consists of the so-called multilayer defects (ML-defects): these defects relate to the ML mirror on the mask, which makes it reflective. While not specific, particle contamination plays a special role in EUV lithography and includes two aspects: both front- and backside of an EUV mask have peculiarities beyond historical deep-UV lithography. Frontside particles can print when they exceed a critical size and, while solvable as in (deep)-UV lithography by means of a pellicle, there are specific challenges. Backside particles can distort a clamped EUV reticle, and the resulting local non-flatness of the reticle may result in focus and overlay errors on the wafer. A last aspect that requires special attention for EUVL can be categorized under reticle degradation by extensive use. That includes the high-volume manufacturing-oriented conditions of high source power needed to obtain competitive throughput. For each aspect of EUV mask defectivity, and hence each type of defect, the paper reviews how the community tackles them and how their possible impact on the result of wafer printing with a given EUV reticle is minimized. This includes a summary of the authors’ own contribution to related learning and developments. Finally, a personal interpretation is given of what are the remaining open items before a workable or full solution can be considered in place.


2005 ◽  
Author(s):  
U. Stamm ◽  
J. Kleinschmidt ◽  
K. Gabel ◽  
G. Hergenhan ◽  
C. Ziener ◽  
...  

2017 ◽  
Vol 6 (3-4) ◽  
Author(s):  
Igor Fomenkov ◽  
David Brandt ◽  
Alex Ershov ◽  
Alexander Schafgans ◽  
Yezheng Tao ◽  
...  

AbstractExtreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML’s NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.


Sign in / Sign up

Export Citation Format

Share Document