scholarly journals Soil Organic Carbon Stocks in Afforested Agricultural Land in Lithuanian Hemiboreal Forest Zone

Forests ◽  
2021 ◽  
Vol 12 (11) ◽  
pp. 1562
Author(s):  
Iveta Varnagirytė-Kabašinskienė ◽  
Povilas Žemaitis ◽  
Kęstutis Armolaitis ◽  
Vidas Stakėnas ◽  
Gintautas Urbaitis

In the context of the specificity of soil organic carbon (SOC) storage in afforested land, nutrient-poor Arenosols and nutrient-rich Luvisols after afforestation with coniferous and deciduous tree species were studied in comparison to the same soils of croplands and grasslands. This study analysed the changes in SOC stock up to 30 years after afforestation of agricultural land in Lithuania, representing the cool temperate moist climate region of Europe. The SOC stocks were evaluated by applying the paired-site design. The mean mass and SOC stocks of the forest floor in afforested Arenosols increased more than in Luvisols. Almost twice as much forest floor mass was observed in coniferous than in deciduous stands 2–3 decades after afforestation. The mean bulk density of fine (<2 mm) soil in the 0–30 cm mineral topsoil layer of croplands was higher than in afforested sites and grasslands. The clear decreasing trend in mean bulk density due to forest stand age with the lowest values in the 21–30-year-old stands was found in afforested Luvisols. In contrast, the SOC concentrations in the 0–30 cm mineral topsoil layer, especially in Luvisols afforested with coniferous species, showed an increasing trend due to the influence of stand age. The mean SOC values in the 0–30 cm mineral topsoil layer of Arenosols and Luvisols during the 30 years after afforestation did not significantly differ from the adjacent croplands or grasslands. The mean SOC stock slightly increased with the forest stand age in Luvisols; however, the highest mean SOC stock was detected in the grasslands. In the Arenosols, there was higher SOC accumulation in the forest floor with increasing stand age than in the Luvisols, while the proportion of SOC stocks in mineral topsoil layers was similar and more comparable to grasslands. These findings suggest encouragement of afforestation of former agricultural land under the current climate and soil characteristics in the region, but the conversion of perennial grasslands to forest land should be done with caution.

2016 ◽  
Author(s):  
Christopher Poeplau ◽  
Cora Vos ◽  
Axel Don

Abstract. Estimation of soil organic carbon (SOC) stocks requires estimates of the carbon content, bulk density, stone content and depth of a respective soil layer. However, different application of these parameters could introduce a considerable bias. Here, we explain why three out of four frequently applied methods overestimate SOC stocks. In stone rich soils (> 30 Vol. %), SOC stocks could be overestimated by more than 100 %, as revealed by using German Agricultural Soil Inventory data. Due to relatively low stone content, the mean systematic overestimation for German agricultural soils was 2.1–10.1 % for three different commonly used equations. The equation ensemble as re-formulated here might help to unify SOC stock determination and avoid overestimation in future studies.


2014 ◽  
Vol 7 (3) ◽  
pp. 1197-1210 ◽  
Author(s):  
M. Nussbaum ◽  
A. Papritz ◽  
A. Baltensweiler ◽  
L. Walthert

Abstract. Accurate estimates of soil organic carbon (SOC) stocks are required to quantify carbon sources and sinks caused by land use change at national scale. This study presents a novel robust kriging method to precisely estimate regional and national mean SOC stocks, along with truthful standard errors. We used this new approach to estimate mean forest SOC stock for Switzerland and for its five main ecoregions. Using data of 1033 forest soil profiles, we modelled stocks of two compartments (0–30, 0–100 cm depth) of mineral soils. Log-normal regression models that accounted for correlation between SOC stocks and environmental covariates and residual (spatial) auto-correlation were fitted by a newly developed robust restricted maximum likelihood method, which is insensitive to outliers in the data. Precipitation, near-infrared reflectance, topographic and aggregated information of a soil and a geotechnical map were retained in the models. Both models showed weak but significant residual autocorrelation. The predictive power of the fitted models, evaluated by comparing predictions with independent data of 175 soil profiles, was moderate (robust R2 = 0.34 for SOC stock in 0–30 cm and R2 = 0.40 in 0–100 cm). Prediction standard errors (SE), validated by comparing point prediction intervals with data, proved to be conservative. Using the fitted models, we mapped forest SOC stock by robust external-drift point kriging at high resolution across Switzerland. Predicted mean stocks in 0–30 and 0–100 cm depth were equal to 7.99 kg m−2 (SE 0.15 kg m−2) and 12.58 kg m−2 (SE 0.24 kg m−2), respectively. Hence, topsoils store about 64% of SOC stocks down to 100 cm depth. Previous studies underestimated SOC stocks of topsoil slightly and those of subsoils strongly. The comparison further revealed that our estimates have substantially smaller SE than previous estimates.


2015 ◽  
Vol 2 (2) ◽  
pp. 871-902 ◽  
Author(s):  
H. C. Hombegowda ◽  
O. van Straaten ◽  
M. Köhler ◽  
D. Hölscher

Abstract. Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is however influenced by the type of the agroforestry system established, the soil and climatic conditions and management. In this regional scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): homegarden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across four climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference plot, an agriculture reference and two of the same AFS types of two ages (30–60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50–61 %) in the top meter of soil depending on the climate zone. The establishment of homegarden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in homegarden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.


2010 ◽  
Vol 5 (No. 1) ◽  
pp. 1-9 ◽  
Author(s):  
G. Barančíková ◽  
J. Halás ◽  
M. Gutteková ◽  
J. Makovníková ◽  
M. Nováková ◽  
...  

Soil organic matter (SOM) takes part in many environmental functions and, depending on the conditions, it can be a source or a sink of the greenhouse gases. Presently, the changes in soil organic carbon (SOC) stock can arise because of the climatic changes or changes in the land use and land management. A promising method in the estimation of SOC changes is modelling, one of the most used models for the prediction of changes in soil organic carbon stock on agricultural land being the RothC model. Because of its simplicity and availability of the input data, RothC was used for testing the efficiency to predict the development of SOC stock during 35-year period on agricultural land of Slovakia. The received data show an increase of SOC stock during the first (20 years) phase and no significant changes in the course of the second part of modelling. The increase of SOC stock in the first phase can be explained by a high carbon input of plant residues and manure and a lower temperature in comparison with the second modelling part.


2014 ◽  
Vol 14 (2) ◽  
pp. 103-108 ◽  
Author(s):  
S Bhandari ◽  
S Bam

The study was carried out in Chovar village of Kritipur Municipality, Kathmandu to compare the soil organic carbon (SOC) of three main land use types namely forest, agricultural and barren land and to show how land use and management are among the most important determinants of SOC stock. Stratified random sampling method was used for collecting soil samples. Walkley and Black method was applied for measuring SOC. Land use and soil depth both affected SOC stock significantly. Forest soil had higher SOC stock (98 t ha-1) as compared to agricultural land with 36.6 t ha-1 and barren land with 83.6 t ha-1. Similarly, the SOC in terms of CO22-1, 79.27 to 22.02 CO2-e ha-1 and 121.11 to 80.74 CO2-1 for 0- 20 cm to 40-60 cm soil depth, respectively. Bulk density (BD) was found less in forest soil compared to other lands at all depths, which showed negative correlation with SOC. The study showed a dire need to increase current soil C stocks which can be achieved through improvements in land use and management practices, particularly through conservation and restoration of degraded forests and soils.   DOI: http://dx.doi.org/10.3126/njst.v14i2.10422   Nepal Journal of Science and Technology Vol. 14, No. 2 (2013) 103-108


Soil Research ◽  
2014 ◽  
Vol 52 (5) ◽  
pp. 463 ◽  
Author(s):  
Zhongkui Luo ◽  
Enli Wang ◽  
Jeff Baldock ◽  
Hongtao Xing

The diversity of cropping systems and its variation could lead to great uncertainty in the estimation of soil organic carbon (SOC) stock across time and space. Using the pre-validated Agricultural Production Systems Simulator, we simulated the long-term (1022 years) SOC dynamics in the top 0.3 m of soil at 613 reference sites under 59 representative cropping systems across Australia’s cereal-growing regions. The point simulation results were upscaled to the entire cereal-growing region using a Monte Carlo approach to quantify the spatial pattern of SOC stock and its uncertainty caused by cropping system and environment. The predicted potential SOC stocks at equilibrium state ranged from 10 to 140 t ha–1, with the majority in a range 30–70 t ha–1, averaged across all the representative cropping systems. Cropping system accounted for ~10% of the total variance in predicted SOC stocks. The type of cropping system that determined the carbon input into soil had significant effects on SOC sequestration potential. On average, the potential SOC stock in the top 0.3 m of soil was 30, 50 and 60 t ha–1 under low-, medium- and high-input cropping systems in terms of carbon input, corresponding to –2, 18 and 26 t ha–1 of SOC change. Across the entire region, the Monte Carlo simulations showed that the potential SOC stock was 51 t ha–1, with a 95% confidence interval ranging from 38 to 64 t ha–1 under the identified representative cropping systems. Overall, predicted SOC stock could increase by 0.99 Pg in Australian cropland under the identified representative cropping systems with optimal management. Uncertainty varied depending on cropping system, climate and soil conditions. Detailed information on cropping system and soil and climate characteristics is needed to obtain reliable estimates of potential SOC stock at regional scale, particularly in cooler and/or wetter regions.


2020 ◽  
Vol 39 (2) ◽  
pp. 159-173
Author(s):  
Rastislav Skalský ◽  
Štefan Koco ◽  
Gabriela Barančíková ◽  
Zuzana Tarasovičová ◽  
Ján Halas ◽  
...  

AbstractSoil organic carbon (SOC) in agricultural land forms part of the global terrestrial carbon cycle and it affects atmospheric carbon dioxide balance. SOC is sensitive to local agricultural management practices that sum up into regional SOC storage dynamics. Understanding regional carbon emission and sequestration trends is, therefore, important in formulating and implementing climate change adaptation and mitigation policies. In this study, the estimation of SOC stock and regional storage dynamics in the Ondavská Vrchovina region (North-Eastern Slovakia) cropland and grassland topsoil between 1970 and 2013 was performed with the RothC model and gridded spatial data on weather, initial SOC stock and historical land cover and land use changes. Initial SOC stock in the 0.3-m topsoil layer was estimated at 38.4 t ha−1 in 1970. The 2013 simulated value was 49.2 t ha−1, and the 1993–2013 simulated SOC stock values were within the measured data range. The total SOC storage in the study area, cropland and grassland areas, was 4.21 Mt in 1970 and 5.16 Mt in 2013, and this 0.95 Mt net SOC gain was attributed to inter-conversions of cropland and grassland areas between 1970 and 2013, which caused different organic carbon inputs to the soil during the simulation period with a strong effect on SOC stock temporal dynamics.


2020 ◽  
Author(s):  
Stephanie Rehschuh ◽  
Michael Dannenmann

&lt;p&gt;Drought-sensitive European beech forests are increasingly challenged by climate change. Admixing other, preferably more deep-rooting, tree species has been proposed to increase the resilience of beech forests to summer drought. This might not only alter soil water dynamics and availability, but also soil organic carbon (SOC) and total nitrogen (TN) storage in soils. Since information of these effects is scattered, our aim was to synthesize results from studies that compared SOC/TN stocks of beech monocultures with those of mixed beech stands as well as of other monocultures. We conducted a meta-analysis including 40 studies with 208, 231 and 166 observations for forest floor, mineral soil and the total soil profile, respectively. Pure conifer stands had higher SOC stocks compared to beech in general, especially in the forest floor with up to 200% (larch forests). Other broadleaved tree species (ash, oak, lime, maple, hornbeam) showed in comparison to beech lower SOC storage in the forest floor, with little impact on total stocks. &amp;#160;Similarly, for mixed beech-conifer stands we found significantly increased SOC stocks of &gt;10% and a small increase in TN stocks of approx. 4% compared to beech monocultures, which means a potential SOC storage increase of &gt;0.1 t ha&lt;sup&gt;-1&lt;/sup&gt;yr&lt;sup&gt;-1 &lt;/sup&gt;(transformation of mineral soil to 100 cm depth). In contrast, mixed beech-broadleaved stands did not show a significant change in total SOC stocks. Currently, the influence climatic and soil parameters on SOC changes due to admixture of other tree species is analyzed based on this dataset. This is expected to facilitate an assessment which mixtures with beech have the largest potential towards increasing SOC stocks.&lt;/p&gt;


AGROFOR ◽  
2021 ◽  
Vol 4 (2) ◽  
Author(s):  
Tihomir PREDIĆ ◽  
Petra NIKIĆ – NAUTH ◽  
Bojana TANASIĆ ◽  
Dragana VIDOJEVIĆ

On the territory of Republic of Srpska (RS – Entity of Bosnia and Herzegovina), in the period 2014 - 2017, the fertility control of arable land was performed in 4125 average samples (taken from top soil, 0 - 30 cm) representing the surface area of 5776 ha. All samples were geo-positioned and linked to the SOTER database (soil and terrain databases). RS is divided into 262 SOTER units. In each soil sample humus was analysed (colorimetric method, wet burning with K2Cr2O7 and conc H2SO4). Soil organic carbon (SOC) was calculated from humus (% humus x factor 0.58). SOC stock (t ha-1) for each plot were calculated on the basis of the volume mass (mg m-3) of the soil type on which the plot was located, the soil weights up to 30 cm (kg ha-1) and the area of the plot (ha). SOC stock on 5776 ha of agricultural land was 225168 t ha-1. The analyzed area was represented by 24 types of soil (FAO class). The highest average SOC stocks of 130 t ha-1 (based on 31 samples) was found in Calacaric Cambisol and the lowest in Stagnic Luvisol 38 t ha-1 (based on 464 samples). In 84% of the tested samples, representing 89% of researched area, the SOC stocks were less than 57 t ha-1. Estimation of the SOC stocks on the total arable land was prepared by GIS analysis interpolation of the SOC results for 4125 samples on the agricultural land area (arable land, gardens, orchards, vineyards and meadows). Estimated SOC stocks on 578894 ha of arable land were 32833549 t. The result of this research is the first step towards the establishment of SOC monitoring system in RS.


2014 ◽  
Vol 1 (1) ◽  
pp. 757-802 ◽  
Author(s):  
B. A. Miller ◽  
S. Koszinski ◽  
M. Wehrhan ◽  
M. Sommer

Abstract. The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which are to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m−2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.


Sign in / Sign up

Export Citation Format

Share Document