Aliasing Probability for Single Input Linear Feedback Signature Registers

Author(s):  
Zhao Jianwu ◽  
Shi Yibing ◽  
Li Yanjun
1991 ◽  
Vol 113 (3) ◽  
pp. 523-525
Author(s):  
Yossi Chait ◽  
Neil F. Lacey ◽  
C. R. MacCluer

A new methodology is presented for deriving transient response estimates for a large class of uncertain linear feedback single input/output systems, including unstable distributed parameter systems. It is shown that using operational calculus, estimates exist and can be computed even in cases where a certain error norm is greater than unity. Several simple numerical examples illustrate the application of the new methodology.


VLSI Design ◽  
1996 ◽  
Vol 4 (3) ◽  
pp. 199-205
Author(s):  
Geetani Edirisooriya

In Built-In Self-Test (BIST) techniques, test data reduction can be achieved using Linear Feedback Shift Registers (LFSRs). A faulty circuit may escape detection due to loss of information inherent to data compaction schemes. This is referred to as aliasing. The probability of aliasing in Multiple-Input Shift-Registers (MISRs) has been studied under various bit error models. By modeling the signature analyzer as a Markov process we show that the closed form expression derived for aliasing probability previously, for MISRs with primitive polynomials under q-ary symmetric error model holds for all MISRs irrespective of their feedback polynomials and for group cellular automata signature analyzers as well. If the erroneous behaviour of a circuit can be modelled with q-ary symmetric errors, then the test circuit complexity and propagation delay associated with the signature analyzer can be minimized by using a set of m single bit LFSRs without increasing the probability of aliasing.


Author(s):  
Sergey Nikitin

The paper presents necessary and sufficient conditions for a single input system defined by a time-dependent vector to be stabilized by a linear feedback. The paper employs a new technique based on averaging along a moving time interval.


2002 ◽  
Author(s):  
Yan Rongchang ◽  
Feng Wenyi ◽  
Huang Weikang

Author(s):  
A. Suresh Babu ◽  
B. Anand

: A Linear Feedback Shift Register (LFSR) considers a linear function typically an XOR operation of the previous state as an input to the current state. This paper describes in detail the recent Wireless Communication Systems (WCS) and techniques related to LFSR. Cryptographic methods and reconfigurable computing are two different applications used in the proposed shift register with improved speed and decreased power consumption. Comparing with the existing individual applications, the proposed shift register obtained >15 to <=45% of decreased power consumption with 30% of reduced coverage area. Hence this proposed low power high speed LFSR design suits for various low power high speed applications, for example wireless communication. The entire design architecture is simulated and verified in VHDL language. To synthesis a standard cell library of 0.7um CMOS is used. A custom design tool has been developed for measuring the power. From the results, it is obtained that the cryptographic efficiency is improved regarding time and complexity comparing with the existing algorithms. Hence, the proposed LFSR architecture can be used for any wireless applications due to parallel processing, multiple access and cryptographic methods.


Sign in / Sign up

Export Citation Format

Share Document