ESR Study of Crystallization of Hydrogenated Amorphous Silicon Thin Films

2007 ◽  
Vol 989 ◽  
Author(s):  
Tining Su ◽  
Tong Ju ◽  
P. Craig Taylor ◽  
Pauls Stradins ◽  
Yueqin Xu ◽  
...  

AbstractSolid-phase crystallization and the subsequent re-hydrogenation of the amorphous silicon thin films provides a low cost approach for thin-film crystalline Si:H-based photovoltaic devices. During the hydrogen effusion, significant lattice reconstruction occurs, as hydrogen is driven out of the film, accompanied by creation and migration of a large number of dangling bonds. We used electron-spin-resonance (ESR) to study evolution of the local order surrounding these dangling bonds during crystallization. When samples made by both plasma enhanced chemical vapor deposition (PECVD) and the and hot wire CVD (HWCVD) are heated to 560°C, hydrogen effuses within 30 min, giving rise to H-effused defect densities of about 5x1018 cm-3. Further heating at 560°C results in crystallizati°n in the HWCVD sample after about 200 min. On the other hand, PECVD samples crystallize only when heated up to 580°C, and then only after much longer times (Dt ~ 1300 min) [1,2]. ESR defects in both samples persist at the 5x1018 cm-3 level as long as the sample remains amorphous during the grain nucleation period. As the crystallites appear, the defect densities gradually decrease and saturate at about 3x1017 cm-3 as the crystallization is completed, both in HWCVD and PECVD samples.In the H-effused states before crystallization, the ESR signals for both the HWCVD and PECVD samples show significant exchange-narrowing, suggesting that the defects are probably clustered. As the sample crystallizes, the defect clustering largely disappears, yet the line-widths in fully crystallized films are somewhat narrower than those in typical micro-crystalline silicon thin films as reported earlier [3]. This difference is probably due the specific structures of the grain boundaries in the present study. The effect of re-hydrogenation on both the H-effused amorphous and crystallized states will be discussed.

2011 ◽  
Vol 383-390 ◽  
pp. 6980-6985
Author(s):  
Mao Yang Wu ◽  
Wei Li ◽  
Jun Wei Fu ◽  
Yi Jiao Qiu ◽  
Ya Dong Jiang

Hydrogenated amorphous silicon (a-Si:H) thin films doped with both Phosphor and Nitrogen are deposited by ratio frequency plasma enhanced chemical vapor deposition (PECVD). The effect of gas flow rate of ammonia (FrNH3) on the composition, microstructure and optical properties of the films has been investigated by X-ray photoelectron spectroscopy, Raman spectroscopy and ellipsometric spectra, respectively. The results show that with the increase of FrNH3, Si-N bonds appear while the short-range order deteriorate in the films. Besides, the optical properties of N-doped n-type a-Si:H thin films can be easily controlled in a PECVD system.


2001 ◽  
Vol 664 ◽  
Author(s):  
Marek A. T. Izmajlowicz ◽  
Neil A. Morrison ◽  
Andrew J. Flewitt ◽  
William I. Milne

ABSTRACTFor application to active matrix liquid crystal displays (AMLCDs), a low temperature (< 600 °C) process for the production of polycrystalline silicon is required to permit the use of inexpensive glass substrates. This would allow the integration of drive electronics onto the display panel. Current low temperature processes include excimer laser annealing, which requires expensive equipment, and solid phase crystallization, which requires high temperatures. It is known that by adding small amounts of metals such as nickel to the amorphous silicon the solid phase crystallization temperature can be significantly reduced. The rate of this solid phase metal induced crystallization is increased in the presence of an electric field. Previous work on field aided crystallization has reported crystal growth that either proceeds towards the positive terminal or is independent of the direction of the electric field. In this work, extensive investigation has consistently revealed directional crystallization, from the positive to the negative terminal, of amorphous silicon thin films during heat treatment in the presence of an electric field. This is the first time that this phenomenon has been reported. Models have been proposed for metal induced crystallization with and without an applied electric field in which a reaction between Ni and Si to produce NiSi is the rate-limiting step. The crystallization rate is increased in the presence of an electric field through the drift of positive Ni ions.


1998 ◽  
Vol 13 (9) ◽  
pp. 2476-2479 ◽  
Author(s):  
E. Bertran ◽  
S. N. Sharma ◽  
G. Viera ◽  
J. Costa ◽  
P. St'ahel ◽  
...  

Thin films of nanostructured silicon (ns-Si:H) were deposited by plasma-enhanced chemical vapor deposition in the presence of silicon nanoparticles at 100 °C substrate temperature using a silane and hydrogen gas mixture under continuous wave (cw) plasma conditions. The nanostructure of the films has been demonstrated by diverse ways: transmission electron microscopy, Raman spectroscopy, and x-ray diffraction, which have shown the presence of ordered silicon clusters (1–2 nm) embedded in an amorphous silicon matrix. Because of the presence of these ordered domains, the films crystallize faster than standard hydrogenated amorphous silicon samples, as evidenced by electrical measurements during the thermal annealing.


2005 ◽  
Vol 475-479 ◽  
pp. 3791-3794
Author(s):  
Dong Sing Wuu ◽  
Shui Yang Lien ◽  
Jui Hao Wang ◽  
Hsin-Yuan Mao ◽  
In-Cha Hsieh ◽  
...  

One of the most challenging problems to develop polycrystalline silicon thin-film solar cells is the growth of crystalline silicon on foreign, low-cost and low-temperature substrates. In this paper, a laser doping technique was developed for the plasma-deposited amorphous silicon film. A process combination of recrystallization and dopant diffusion (phosphorous or boron) was achieved simultaneously by the laser annealing process. The doping precursor was synthesized by a sol-gel method and was spin-coated on the sample. After laser irradiation, the grain size of the doped polycrystalline silicon was examined to be about 0.5~1.0 µm. The concentrations of 2×1019 and 5× 1018 cm-3 with Hall mobilities of 92.6 and 37.5 cm²/V-s were achieved for the laser-diffused phosphorous- and boron-type polysilicon films, respectively.


2006 ◽  
Vol 326-328 ◽  
pp. 195-198
Author(s):  
Seung Jae Moon

The formation and growth mechanism of polysilicon grains in thin films via laser annealing of amorphous silicon thin films are studied. The complete understanding of the mechanism is crucial to improve the thin film transistors used as switches in the active matrix liquid crystal displays. To understand the recrystallization mechanism, the temperature history and liquidsolid interface motion during the excimer laser annealing of 50-nm thick amorphous and polysilicon films on fused quartz substrates are intensively investigated via in-situ time-resolved thermal emission measurements, optical reflectance and transmittance measurements at near infrared wavelengths. The front transmissivity and reflectivity are measured to obtain the emissivity at the 1.52 μm wavelength of the probe IRHeNe laser to improve the accuracy of the temperature measurement. The melting point of amorphous silicon is higher than that of crystalline silicon of 1685 K by 100-150 K. This is the first direct measurement of the melting temperature of amorphous silicon thin films. It is found that melting of polysilicon occurs close to the melting point of crystalline silicon. Also the optical properties such as reflectance and transmittance are used to determine the melt duration by the detecting the difference of the optical properties of liquid silicon and solid silicon.


Sign in / Sign up

Export Citation Format

Share Document