Materials and Physical Properties of Novel High-k and Medium-k Gate Dielectrics

2001 ◽  
Vol 670 ◽  
Author(s):  
Ran Liu ◽  
Stefan Zollner ◽  
Peter Fejes ◽  
Rich Gregory ◽  
Shifeng Lu ◽  
...  

ABSTRACTRapid shrinking in device dimensions calls for replacement of SiO2 by new gate insulators in future generations of MOSFETs. Among many desirable properties, potential candidates must have a higher dielectric constant, low leakage current, and thermal stability against reaction or diffusion to ensure sharp interfaces with both the substrate Si and the gate metal (or poly-Si). Extensive characterization of such materials in thin-film form is crucial not only for selection of the alternative gate dielectrics and processes, but also for development of appropriate metrology of the high-k films on Si. This paper will report recent results on structural and compositional properties of thin film SrTiO3 and transition metal oxides (ZrO2and HfO2).

2021 ◽  
pp. 106413
Author(s):  
Yuexin Yang ◽  
Zhuohui Xu ◽  
Tian Qiu ◽  
Honglong Ning ◽  
Jinyao Zhong ◽  
...  

2012 ◽  
Vol 463-464 ◽  
pp. 1341-1345 ◽  
Author(s):  
Chong Liu ◽  
Xiao Li Fan

This essay aims to introduce development of gate dielectrics. In present-day society, Si-based MOS has met its physical limitation. Scientists are trying to find a better material to reduce the thickness and dimension of MOS devices. While substrate materials are required to have a higher mobility, gate dielectrics are expected to have high k, low Dit and low leakage current. I conclude dielectrics in both Si-based and Ge-based MOS devices and several measures to improve the properties of these gate dielectric materials. I also introduce studies on process in our group and some achievements we have got. Significantly, this essay points out the special interest in rare-earth oxides functioning as gate dielectrics in recent years and summarizes the advantages and problems should be resolved in future.


Author(s):  
SAGARIKA KHOUND ◽  
Jayanta Kumar Sarmah ◽  
RANJIT SARMA

Abstract In this work, we have studied the electrical performance of cross-linked polyvinyl phenol (cPVP) modified lanthanum oxide (La2O3) bilayer dielectric film in pentacene thin film transistors (TFT). A simple spin-coating and room temperature operated cross-linking reaction of the hydroxyl moieties of PVP and the nitrogen groups of PMF were carried out to form the cross-linked PVP. The deposition of a thin 30 nm cPVP layer over the La2O3 layer provided a low leakage current (<10−7A/cm2), causing a reduction in the interface trap density. Besides, the modified surface properties of the La2O3 layer were favorable for the growth of pentacene organic semiconductors. As a result, the current on-off ratio and the sub-threshold slope was improved from 104 and 1.0 V/decade to 105 and 0.67 V/decade. The La2O3∕cPVP pentacene TFT operated at −10 V also exhibited improvement in the field-effect mobility to 0.71 cm2/Vs from 0.48 cm2/Vs for the single-layer La2O3 (130 nm) device. Thus, our work demonstrates that the rare earth oxide La2O3 with cPVP is an excellent dielectric system in the context of emerging transistors with hybrid polymer gate dielectrics.


2013 ◽  
Vol 1538 ◽  
pp. 291-302
Author(s):  
Edward Yi Chang ◽  
Hai-Dang Trinh ◽  
Yueh-Chin Lin ◽  
Hiroshi Iwai ◽  
Yen-Ku Lin

ABSTRACTIII-V compounds such as InGaAs, InAs, InSb have great potential for future low power high speed devices (such as MOSFETs, QWFETs, TFETs and NWFETs) application due to their high carrier mobility and drift velocity. The development of good quality high k gate oxide as well as high k/III-V interfaces is prerequisite to realize high performance working devices. Besides, the downscaling of the gate oxide into sub-nanometer while maintaining appropriate low gate leakage current is also needed. The lack of high quality III-V native oxides has obstructed the development of implementing III-V based devices on Si template. In this presentation, we will discuss our efforts to improve high k/III-V interfaces as well as high k oxide quality by using chemical cleaning methods including chemical solutions, precursors and high temperature gas treatments. The electrical properties of high k/InSb, InGaAs, InSb structures and their dependence on the thermal processes are also discussed. Finally, we will present the downscaling of the gate oxide into sub-nanometer scale while maintaining low leakage current and a good high k/III-V interface quality.


1999 ◽  
Vol 567 ◽  
Author(s):  
M.C. Gilmer ◽  
T-Y Luo ◽  
H.R. Huff ◽  
M.D. Jackson ◽  
S. Kim ◽  
...  

ABSTRACTA design-of-experiments methodology was implemented to assess the commercial equipment viability to fabricate the high-K dielectrics Ta2O5, TiO2 and BST (70/30 and 50/50 compositions) for use as gate dielectrics. The high-K dielectrics were annealed in 100% or 10% O2 for different times and temperatures in conjunction with a previously prepared NH3 nitrided or 14N implanted silicon surface. Five metal electrode configurations—Ta, TaN, W, WN and TiN—were concurrently examined. Three additional silicon surface configurations were explored in conjunction with a more in-depth set of time and temperature anneals for Ta2O5. Electrical characterization of capacitors fabricated with the above high-K gate dielectrics, as well as SIMS and TEM analysis, indicate that the post high-K deposition annealing temperature was the most significant variable impacting the leakage current density, although there was minimal influence on the capacitance. Further studies are required, however, to clarify the physical mechanisms underlying the electrical data presented.


1999 ◽  
Vol 567 ◽  
Author(s):  
G. Lucovsky ◽  
J.C. Phillips

ABSTRACTThis paper discusses chemical bonding effects at Si-dielectric interfaces that are important in the implementation of alternative gate dielectrics including: i) the character of interfacial bonds, either isovalent with bond and nuclear charge balanced as in Si-SiO2, or heterovalent, with an inherent mismatch between bond and nuclear charge, ii) mechanical bonding constraints related to the average number of bonds/atom, Nay, and iii) band offset energies that are reduced in transition metal oxides due to the d-state origins of the conduction band states. Applications are made to specific classes of dielectric materials including i) nitrides and oxide/nitride stacks and ii) alternative high-K gate materials.


Sign in / Sign up

Export Citation Format

Share Document