scholarly journals Simulation of soil organic carbon changes in Slovak arable land and their environmental aspects

2012 ◽  
Vol 7 (No. 2) ◽  
pp. 45-51
Author(s):  
G. Barančíková ◽  
J. Makovníková ◽  
R. Skalský ◽  
Z. Tarasovičová ◽  
M. Nováková ◽  
...  

One of the key goals of the Thematic Strategy for Soil Protection is to maintain and improve soil organic carbon (SOC) stocks. A decline of SOC stocks is politically perceived as a serious threat to soil quality and functions. A suitable tool for acquiring the information on SOC stock changes is modelling. The RothC-26.3 model was applied for long-term modelling (1970–2007) of the SOC stock in the topsoil of croplands of Slovakia. Simulation results show a gradual increase in the SOC stock in the first phase of modelling (1970–1995) mainly due to higher carbon input in the soil. A significant linear correlation (r = 0.4**, n = 275) was found between carbon input and the final simulation of SOC stock. A close relationship between the SOC stock and soil production potential index representing the official basis for soil quality assessment in Slovakia was also determined and a polynomial relationship was found which describes the relation at the 95% confidence level. We have concluded from the results that balanced or positive changes in the SOC stock dynamics that are important for sustainable use of soils could be influenced positively or negatively in Slovakia by political decisions concerning the soil management. Moreover, the soil production potential index can be used as soil quality information support for such decision-making.

2014 ◽  
Vol 7 (3) ◽  
pp. 1197-1210 ◽  
Author(s):  
M. Nussbaum ◽  
A. Papritz ◽  
A. Baltensweiler ◽  
L. Walthert

Abstract. Accurate estimates of soil organic carbon (SOC) stocks are required to quantify carbon sources and sinks caused by land use change at national scale. This study presents a novel robust kriging method to precisely estimate regional and national mean SOC stocks, along with truthful standard errors. We used this new approach to estimate mean forest SOC stock for Switzerland and for its five main ecoregions. Using data of 1033 forest soil profiles, we modelled stocks of two compartments (0–30, 0–100 cm depth) of mineral soils. Log-normal regression models that accounted for correlation between SOC stocks and environmental covariates and residual (spatial) auto-correlation were fitted by a newly developed robust restricted maximum likelihood method, which is insensitive to outliers in the data. Precipitation, near-infrared reflectance, topographic and aggregated information of a soil and a geotechnical map were retained in the models. Both models showed weak but significant residual autocorrelation. The predictive power of the fitted models, evaluated by comparing predictions with independent data of 175 soil profiles, was moderate (robust R2 = 0.34 for SOC stock in 0–30 cm and R2 = 0.40 in 0–100 cm). Prediction standard errors (SE), validated by comparing point prediction intervals with data, proved to be conservative. Using the fitted models, we mapped forest SOC stock by robust external-drift point kriging at high resolution across Switzerland. Predicted mean stocks in 0–30 and 0–100 cm depth were equal to 7.99 kg m−2 (SE 0.15 kg m−2) and 12.58 kg m−2 (SE 0.24 kg m−2), respectively. Hence, topsoils store about 64% of SOC stocks down to 100 cm depth. Previous studies underestimated SOC stocks of topsoil slightly and those of subsoils strongly. The comparison further revealed that our estimates have substantially smaller SE than previous estimates.


Forests ◽  
2021 ◽  
Vol 12 (11) ◽  
pp. 1562
Author(s):  
Iveta Varnagirytė-Kabašinskienė ◽  
Povilas Žemaitis ◽  
Kęstutis Armolaitis ◽  
Vidas Stakėnas ◽  
Gintautas Urbaitis

In the context of the specificity of soil organic carbon (SOC) storage in afforested land, nutrient-poor Arenosols and nutrient-rich Luvisols after afforestation with coniferous and deciduous tree species were studied in comparison to the same soils of croplands and grasslands. This study analysed the changes in SOC stock up to 30 years after afforestation of agricultural land in Lithuania, representing the cool temperate moist climate region of Europe. The SOC stocks were evaluated by applying the paired-site design. The mean mass and SOC stocks of the forest floor in afforested Arenosols increased more than in Luvisols. Almost twice as much forest floor mass was observed in coniferous than in deciduous stands 2–3 decades after afforestation. The mean bulk density of fine (<2 mm) soil in the 0–30 cm mineral topsoil layer of croplands was higher than in afforested sites and grasslands. The clear decreasing trend in mean bulk density due to forest stand age with the lowest values in the 21–30-year-old stands was found in afforested Luvisols. In contrast, the SOC concentrations in the 0–30 cm mineral topsoil layer, especially in Luvisols afforested with coniferous species, showed an increasing trend due to the influence of stand age. The mean SOC values in the 0–30 cm mineral topsoil layer of Arenosols and Luvisols during the 30 years after afforestation did not significantly differ from the adjacent croplands or grasslands. The mean SOC stock slightly increased with the forest stand age in Luvisols; however, the highest mean SOC stock was detected in the grasslands. In the Arenosols, there was higher SOC accumulation in the forest floor with increasing stand age than in the Luvisols, while the proportion of SOC stocks in mineral topsoil layers was similar and more comparable to grasslands. These findings suggest encouragement of afforestation of former agricultural land under the current climate and soil characteristics in the region, but the conversion of perennial grasslands to forest land should be done with caution.


2015 ◽  
Vol 2 (2) ◽  
pp. 871-902 ◽  
Author(s):  
H. C. Hombegowda ◽  
O. van Straaten ◽  
M. Köhler ◽  
D. Hölscher

Abstract. Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is however influenced by the type of the agroforestry system established, the soil and climatic conditions and management. In this regional scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): homegarden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across four climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference plot, an agriculture reference and two of the same AFS types of two ages (30–60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50–61 %) in the top meter of soil depending on the climate zone. The establishment of homegarden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in homegarden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.


2016 ◽  
Author(s):  
Christopher Poeplau ◽  
Cora Vos ◽  
Axel Don

Abstract. Estimation of soil organic carbon (SOC) stocks requires estimates of the carbon content, bulk density, stone content and depth of a respective soil layer. However, different application of these parameters could introduce a considerable bias. Here, we explain why three out of four frequently applied methods overestimate SOC stocks. In stone rich soils (> 30 Vol. %), SOC stocks could be overestimated by more than 100 %, as revealed by using German Agricultural Soil Inventory data. Due to relatively low stone content, the mean systematic overestimation for German agricultural soils was 2.1–10.1 % for three different commonly used equations. The equation ensemble as re-formulated here might help to unify SOC stock determination and avoid overestimation in future studies.


Soil Research ◽  
2014 ◽  
Vol 52 (5) ◽  
pp. 463 ◽  
Author(s):  
Zhongkui Luo ◽  
Enli Wang ◽  
Jeff Baldock ◽  
Hongtao Xing

The diversity of cropping systems and its variation could lead to great uncertainty in the estimation of soil organic carbon (SOC) stock across time and space. Using the pre-validated Agricultural Production Systems Simulator, we simulated the long-term (1022 years) SOC dynamics in the top 0.3 m of soil at 613 reference sites under 59 representative cropping systems across Australia’s cereal-growing regions. The point simulation results were upscaled to the entire cereal-growing region using a Monte Carlo approach to quantify the spatial pattern of SOC stock and its uncertainty caused by cropping system and environment. The predicted potential SOC stocks at equilibrium state ranged from 10 to 140 t ha–1, with the majority in a range 30–70 t ha–1, averaged across all the representative cropping systems. Cropping system accounted for ~10% of the total variance in predicted SOC stocks. The type of cropping system that determined the carbon input into soil had significant effects on SOC sequestration potential. On average, the potential SOC stock in the top 0.3 m of soil was 30, 50 and 60 t ha–1 under low-, medium- and high-input cropping systems in terms of carbon input, corresponding to –2, 18 and 26 t ha–1 of SOC change. Across the entire region, the Monte Carlo simulations showed that the potential SOC stock was 51 t ha–1, with a 95% confidence interval ranging from 38 to 64 t ha–1 under the identified representative cropping systems. Overall, predicted SOC stock could increase by 0.99 Pg in Australian cropland under the identified representative cropping systems with optimal management. Uncertainty varied depending on cropping system, climate and soil conditions. Detailed information on cropping system and soil and climate characteristics is needed to obtain reliable estimates of potential SOC stock at regional scale, particularly in cooler and/or wetter regions.


2020 ◽  
Author(s):  
Enrico Martani ◽  
Marcello Pilla ◽  
Andrea Ferrarini ◽  
Stefano Amaducci ◽  
Astley Hastings

&lt;p&gt;Soil organic carbon (SOC) is an important carbon pool sensitive to land use change (LUC). There are concerns that at the end of PECs cultivation cycle, the re-conversion of these crops back to arable land could negatively impact the SOC stock. However, a positive effect of reconversion on SOC is possible, due to the high amount of C added to the soil with the disruption of belowground biomass (BGB) during re-conversion process. In this study, C storage potential in SOC and BGB of six perennial energy crops (PECs) was measured in a 11 years old field trial in Italy before its reconversion to arable land. SOC dynamics and greenhouse gases (GHGs) emission were measured in the first two years after the reconversion. SOC and GHG measurements were compared to ECOSSE soil carbon model predictions (run for a LUC from arable land to PECs and re-conversion to arable land) to understand SOC dynamics. After 11 years of cultivation, PECs significantly increased SOC stock respect to arable land. In average, BGB accounted for the 68% of total carbon stocked by PECs. The ECOSSE soil carbon model successfully simulated the dynamics of SOC pool and the GHGs emissions from soil after the re-conversion of PECs.&lt;/p&gt;


AGROFOR ◽  
2021 ◽  
Vol 4 (2) ◽  
Author(s):  
Tihomir PREDIĆ ◽  
Petra NIKIĆ – NAUTH ◽  
Bojana TANASIĆ ◽  
Dragana VIDOJEVIĆ

On the territory of Republic of Srpska (RS – Entity of Bosnia and Herzegovina), in the period 2014 - 2017, the fertility control of arable land was performed in 4125 average samples (taken from top soil, 0 - 30 cm) representing the surface area of 5776 ha. All samples were geo-positioned and linked to the SOTER database (soil and terrain databases). RS is divided into 262 SOTER units. In each soil sample humus was analysed (colorimetric method, wet burning with K2Cr2O7 and conc H2SO4). Soil organic carbon (SOC) was calculated from humus (% humus x factor 0.58). SOC stock (t ha-1) for each plot were calculated on the basis of the volume mass (mg m-3) of the soil type on which the plot was located, the soil weights up to 30 cm (kg ha-1) and the area of the plot (ha). SOC stock on 5776 ha of agricultural land was 225168 t ha-1. The analyzed area was represented by 24 types of soil (FAO class). The highest average SOC stocks of 130 t ha-1 (based on 31 samples) was found in Calacaric Cambisol and the lowest in Stagnic Luvisol 38 t ha-1 (based on 464 samples). In 84% of the tested samples, representing 89% of researched area, the SOC stocks were less than 57 t ha-1. Estimation of the SOC stocks on the total arable land was prepared by GIS analysis interpolation of the SOC results for 4125 samples on the agricultural land area (arable land, gardens, orchards, vineyards and meadows). Estimated SOC stocks on 578894 ha of arable land were 32833549 t. The result of this research is the first step towards the establishment of SOC monitoring system in RS.


2014 ◽  
Vol 1 (1) ◽  
pp. 757-802 ◽  
Author(s):  
B. A. Miller ◽  
S. Koszinski ◽  
M. Wehrhan ◽  
M. Sommer

Abstract. The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which are to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m−2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.


2016 ◽  
Vol 38 (3) ◽  
pp. 229 ◽  
Author(s):  
S. G. Bray ◽  
D. E. Allen ◽  
B. P. Harms ◽  
D. J. Reid ◽  
G. W. Fraser ◽  
...  

The grazing lands of northern Australia contain a substantial soil organic carbon (SOC) stock due to the large land area. Manipulating SOC stocks through grazing management has been presented as an option to offset national greenhouse gas emissions from agriculture and other industries. However, research into the response of SOC stocks to a range of management activities has variously shown positive, negative or negligible change. This uncertainty in predicting change in SOC stocks represents high project risk for government and industry in relation to SOC sequestration programs. In this paper, we seek to address the uncertainty in SOC stock prediction by assessing relationships between SOC stocks and grazing land condition indicators. We reviewed the literature to identify land condition indicators for analysis and tested relationships between identified land condition indicators and SOC stock using data from a paired-site sampling experiment (10 sites). We subsequently collated SOC stock datasets at two scales (quadrat and paddock) from across northern Australia (329 sites) to compare with the findings of the paired-site sampling experiment with the aim of identifying the land condition indicators that had the strongest relationship with SOC stock. The land condition indicators most closely correlated with SOC stocks across datasets and analysis scales were tree basal area, tree canopy cover, ground cover, pasture biomass and the density of perennial grass tussocks. In combination with soil type, these indicators accounted for up to 42% of the variation in the residuals after climate effects were removed. However, we found that responses often interacted with soil type, adding complexity and increasing the uncertainty associated with predicting SOC stock change at any particular location. We recommend that caution be exercised when considering SOC offset projects in northern Australian grazing lands due to the risk of incorrectly predicting changes in SOC stocks with change in land condition indicators and management activities for a particular paddock or property. Despite the uncertainty for generating SOC sequestration income, undertaking management activities to improve land condition is likely to have desirable complementary benefits such as improving productivity and profitability as well as reducing adverse environmental impact.


2020 ◽  
Author(s):  
Gerard Heuvelink ◽  
Marcos Angelini ◽  
Laura Poggio ◽  
Zhanguo Bai ◽  
Niels Batjes ◽  
...  

&lt;p&gt;Spatially resolved estimates of change in soil organic carbon (SOC) stocks are necessary for supporting national and international policies aimed at achieving land degradation neutrality and climate mitigation through better land management. In this work we report on the development, implementation and application of a data-driven, statistical space-time method for mapping SOC stocks, using Argentina as a pilot area. We used the Quantile Regression Forest machine-learning algorithm to predict SOC stock at 0-30 cm depth at 250 m resolution for Argentina between 1982 and 2017, on an annual basis. The model was calibrated using over 5,000 SOC stock values from the 36-year time period and 35 environmental covariates. Most covariates were static and could only explain the spatial SOC distribution. SOC change over time was modelled using time series maps of the AVHRR NDVI vegetation index. These NDVI time series maps were pre-processed using a temporal low-pass filter to allow the SOC stock for a given year to depend on the NDVI of the current as well as preceding years. Spatial patterns of SOC stock predictions were persistent over time and comparable to baseline SOC stock maps of Argentina. Predictions had modest temporal variation with an average decrease for the entire country from 2.55 kg C m&lt;sup&gt;&amp;#8209;2&lt;/sup&gt; to 2.48&amp;#160;kg&amp;#160;C&amp;#160;m&lt;sup&gt;&amp;#8209;2&lt;/sup&gt; over the 36-year period (equivalent to a decline of 210.7&amp;#160;Gg&amp;#160;C, 3.0% of the total 0&amp;#8209;30&amp;#160;cm SOC stock in Argentina). The Pampa region had a larger estimated SOC stock decrease from 4.62&amp;#160;kg&amp;#160;C&amp;#160;m&lt;sup&gt;&amp;#8209;2&lt;/sup&gt; to 4.34&amp;#160;kg&amp;#160;C&amp;#160;m&lt;sup&gt;&amp;#8209;2&lt;/sup&gt; (5.9%) during the same period. For the 2001-2015 period, predicted temporal variation was 7-fold larger than that obtained using the Tier&amp;#160;1 approach of the Intergovernmental Panel on Climate Change and the United Nations Convention to Combat Desertification. Prediction uncertainties turned out to be substantial, mainly due to the limited number and poor spatial and temporal distribution of the calibration data, and the limited explanatory power of the covariates. Cross-validation confirmed that SOC stock prediction accuracy was limited, with a Mean Error of 0.03&amp;#160;kg C m&lt;sup&gt;-2&lt;/sup&gt; and a Root Mean Squared Error of 2.04&amp;#160;kg&amp;#160;C&amp;#160;m&lt;sup&gt;-2&lt;/sup&gt;. The model explained 45% of the SOC stock variation. In spite of the large uncertainties, this work showed that machine learning methods can be used for space-time SOC mapping and may yield valuable information to land managers and policy makers, provided that SOC observation density in space and time is sufficiently large.&lt;/p&gt;


Sign in / Sign up

Export Citation Format

Share Document